リソグラフィシステム:新技術開発も活発化

EUV需要が拡大

 2020年後半から半導体各社での生産能力強化が加速している。これに伴い半導体製造プロセスの中核を担うリソグラフィシステムの需要も拡大、世界のリソグラフィシステムの2021年市場規模は前年比37.5%増の2兆5,467億円となった。
 光源別システムの売上状況(台数)を示す。EUVシステムは、大手半導体メーカーによる最先端ラインの新設増強に伴い、2020年から売り上げを急速に伸ばしている。EUVリソグラフィシステムは7nmプロセスから量産応用がはじめられ、5nmプロセスでは最初から全面的な導入となっている。応用分野でも、ファンドリ分野向けが中心であったが、2020年後半からDRAM、NAND型フラッシュメモリの量産導入もはじめられた。
 EUVシステムはオランダASML Holding(ASML)社の独占となっている。同社のEUV売上台数は2019年には26台、2020年には31台に拡大。2021年には前年から11台増の42台となった。さらに2022年も50台以上の出荷が予想される。
 しかし、受注の拡大ペースは売り上げを上回っており、2022年第1四半期では154台、2021年売り上げ実績と比較するとおおよそ4年分に達している。ASMLのEUVシステム供給能力が半導体産業の成長性にも影響を与える状態となっているため、2020年、2021年と10億ドルを上回る大型投資を続けている。

続きをご覧いただくにはログインしていただく必要があります。

関連特集

関連カテゴリー

「リソグラフィシステム:新技術開発も活発化」に関連する特集が存在しません。




「リソグラフィシステム:新技術開発も活発化」に関連するカテゴリーが存在しません。