あ の半導体用語

RIEダメージ

英語表記:Reactive Ion Etching damage

ICの機能試験

英語表記:

IC内部回路

英語表記:

IDDQ試験 静止電源電流試験

英語表記:IDDQ test quiescent power supply current test

IDブレード

英語表記:inner diameter blade

IPAべーパ乾燥

英語表記:IPA Vapor/Dry

IPA回収・再生装置

英語表記:isopropyl alcohol reprocessor

IPA乾燥

英語表記:IPA vapor drying

IPA蒸気乾燥

英語表記:IPA vapor drying

I/Oピン ドライバ/コンパレータ

英語表記:IOpindriver/comparator

I/O切り換え時間

英語表記:I/Oswitching transition

RCA洗浄

英語表記:RCA Cleaning

RCA洗浄法

英語表記:RCA clean

RC線路

英語表記:RC transmission line

RF型加速器 RFQ型加速器

英語表記:radio frequency linac(linear accelerator) radio frequency quadrapole accelerator

RIE(反応性イオンエッチング)

英語表記:Reactive Ion Etching

RTA(高温短時間アニール)

英語表記:Rapid Thermal Anneal

RTP酸化

英語表記:oxidation by Rapid Thermal Processmg

RTP酸化

英語表記:oxidation by Rapid Thermal Processmg

i型半導体 真性半導体

英語表記:i-type semiconductor

アークチャンバ

英語表記:arc chamber

アークランプ アニール装置

英語表記:arc lamp annealer

アース棒

英語表記:earth bar grounding bar

アイススクラブ洗浄

英語表記:ice scrubber cleaning

アイダイアグラムマスクテスト

英語表記:eye diagram mask test

アイランド ダイバッド

英語表記:die pad

アイランドダウン ディプレス

英語表記:depressed die pad

アイリッド

英語表記:eyelid

アインシュタインの関係式

英語表記:Einstein's equation

アウタリード

英語表記:outer lead

アウタリードボンディング アウタリードボンダ

英語表記:outer lead bonding outer lead bonder

アウトガス

英語表記:outgassing

アクティブダンパ

英語表記:active amper

アスペクト比

英語表記:Aspect Ratio

アスペクト比

英語表記:aspect ratio

アスペクト比

英語表記:aspect ratio

アズカットウェーハスライドウェーハ

英語表記:as-cut wafer sliced wafer

アッシング

英語表記:ashing

アッシング(灰化)

英語表記:Ashing

アッシング機構

英語表記:ashing mechanism

アッシング装置

英語表記:ashing system

アトミック・レイヤー・エッチング

英語表記:Atomic Layer Etching

アドヒージョンユニット

英語表記:adhesion unit

アドレスサイズ

英語表記:address unit

アドレススクランブル機能

英語表記:address scramble function

アドレスマルチ機能

英語表記:address multiplex function

アナログ

英語表記:Analog

アニーリング(熱処理)

英語表記:annealing

アニール

英語表記:anneal

アニール

英語表記:anneal

アニール(熱処理)

英語表記:Annealing

アニール温度

英語表記:annealing temperature

アニール均一性

英語表記:annealing uniformity

アニール室

英語表記:annealing chamber

アニオン交換樹脂

英語表記:anion exchange resin

アニオン交換樹脂塔

英語表記:anion exchanger

アパーチャ

英語表記:aperture

アフターコロージョン

英語表記:アフターコロージョン

アフタキュア ポストキュア

英語表記:after cure post cure

アフタコロージョン

英語表記:after-corrosion

アモーファス

英語表記:Amorphous

アモルファス

英語表記:amorphous

アモルファスフロロカーボン

英語表記:a-C:F:amorphous fluorocarbon

アライメント

英語表記:alignment

アライメントオフセット

英語表記:alignment offset

アライメントスコープ

英語表記:alignment scope

アライメントステージ

英語表記:alignment stage

アライメントマーク 合せマーク

英語表記:alignment mark

アライメント精度

英語表記:alignment accuracy

アライメント精度

英語表記:alignment accuracy

アライメント精度 位置合せ精度

英語表記:alignment accuracy

アルゴリズミック パターン発生器 ALPG

英語表記:algorithmic pattern  genetator

アルミ

英語表記:alminium

アルミナ砥粒

英語表記:alumina abrasive

アルミニウム配線

英語表記:aluminum conductor

アレニウスグラフ アレニウスプロット

英語表記:Arrhenius graph Arrhenius plot dependence of life time on temperature plot

アロイスパイク

英語表記:alloy spike

アンスタッカ

英語表記:unstacker

アンダーフィル

英語表記:under fill

アンダエッチング

英語表記:under-etching

アンダエッチング

英語表記:under etching

アンダカット サイドエッチング

英語表記:undercut side etching

アンチモン

英語表記:antimony

アンテナ比

英語表記:antenna retio

アンローダ

英語表記:unloader

αブレンディング

英語表記:alpha blending

圧縮圧力

英語表記:compressive stress

圧縮機

英語表記:compressor

圧力ショット

英語表記:pressure shock

圧力検出器

英語表記:pressure sensor

圧力式ろ過器

英語表記:pressure filter

安全メガネ

英語表記:safety glasses

暗号LSI

英語表記:cipher LSI

後処理室

英語表記:post-treatment chamber

後洗浄

英語表記:post-cleaning process

後腐食

英語表記:after corrosnon

厚さ

英語表記:thickness

厚さむら 5点厚さむら

英語表記:thickness variation five points thickness

孔明きパネル

英語表記:perforated panel

合わせ精度

英語表記:overlay accuracy

浅い接合

英語表記:shallow junction

浅い接合形成

英語表記:shallow junction

熱い電子

英語表記:hot electron