か の半導体用語

カードル

英語表記:bundled

カーフロス

英語表記:kerf loss

カーリング

英語表記:curling

カスケードドライバ

英語表記:cascaded driver

カスコード型差動増幅器

英語表記:Cascoded differential amplifier

カセットID

英語表記:cassette ID

カセット ツー カセット

英語表記:cassette-to-cassette handling

カセット マガジン

英語表記:cassette magazine

カセットトランスファ

英語表記:cassette transfer

カセットレス洗浄装置

英語表記:cassetteless wet cleaning equipment

カセット洗浄装置

英語表記:cassette cleaning equipment

カソード ターゲット電極

英語表記:cathode target electrode

カチオン交換樹脂

英語表記:cation exchange resin

カチオン交換樹脂塔

英語表記:cation exchanger

カットオフ

英語表記:cut off

カットラインチェック カーフチェック

英語表記:kerf inspection

カップリンス

英語表記:bowl rinse

カップ温湿度調整

英語表記:cup temperatureーhumidity controller

カップ温度湿度調整

英語表記:bowl temperature and humidity control

カテゴリ ビン

英語表記:category bin

カメラツールオフセット

英語表記:camera-tool offset

カラーフィルタ試験

英語表記:coler filter test

カラムアライメント

英語表記:column alignment

カラムコントロール

英語表記:column control

カル

英語表記:cull

カルーセル

英語表記:carousel

カレントミラー回路

英語表記:

カンチレバー

英語表記:cantilever

渦電流終点検出法

英語表記:end point detection by eddy current

化学シフト

英語表記:chemical shift

化学吸着

英語表記:chemisorption

化学吸着フィルタ

英語表記:chemical air filter

化学吸着フィルタ

英語表記:chemical filter

化学研磨

英語表記:chemical polishing

化学処理方式

英語表記:chemical treatment

化学洗浄

英語表記:chemical cleaning

化学増幅レジスト

英語表記:chemically amplified resist

化学増幅型レジスト

英語表記:chemically amplified resist

化学増幅型レジスト

英語表記:chemicaiiy amplified resist

化学増幅型レジスト

英語表記:

化学増幅型レジスト処理装置

英語表記:chemically amplified resist processmg equipment

化学的機械研磨

英語表記:CMP : Chemical Mechanical Polishing

化学的気相成長

英語表記:CVD : Chemical Vapor Deposition

化学的気相成長法

英語表記:CVD:Chemical Vapor Deposition

化学的洗浄

英語表記:chemical cleaning method

化合物半導体

英語表記:Compound Semiconductor

価電子帯

英語表記:Valence band

加圧酸化

英語表記:high pressure oxidation

加圧法

英語表記:high pressure methode

加圧埋め込み

英語表記:force fill process

加工層

英語表記:work damage layer

加工変質層

英語表記:subsurface

加工変質層

英語表記:damaged layer

加速管

英語表記:acceleration tube

加速器

英語表記:

加速電圧

英語表記:acceleration voltage

加速律

英語表記:acceleration factor

可変成形ビーム

英語表記:variable shaped beam

稼働率

英語表記:availability

荷電中和法

英語表記:charge neutralization method

過酸化水素処理装置

英語表記:hydro-peroxide removal equipment

過剰消去禁止機能 過剰書き込み禁止機能

英語表記:over-erase prohibit function over-programming prohibit function

過冷却度

英語表記:supercooling limit

解像度 解像力 解像線幅

英語表記:resolution

解像度限界

英語表記:resolution limit

解放循環式冷却水設備

英語表記:open circuit condensing water system open circuit cooling water system

解離過程

英語表記:dissociative process

解離断面積

英語表記:dissociation cross section

回転数立上げ時間

英語表記:revolution speed set up time

回転注入

英語表記:rotational implant

回転塗布

英語表記:rotation paint

回転版接触装置

英語表記:rotating biological contactor

界面活性剤

英語表記:surfactant

界面活性剤添加洗浄薬品

英語表記:surfactant added cleaning chemical

界面準位

英語表記:interface state

界面反応

英語表記:interfacial reaction

開環重合

英語表記:ring opening polymerization

開管法

英語表記:open-tube method

階間搬送

英語表記:interlevel transportation interfloor transportation

階層処理

英語表記:hierarchical OperatiOn

拡散

英語表記:diffusion

拡散(熱拡散)

英語表記:Thermal Diffusion

拡散係数

英語表記:diffusion coefficient

拡散経路

英語表記:Diffusion path

拡散定数

英語表記:diffusion constant

拡散方法

英語表記:diffusion method

拡散炉

英語表記:Diffusion Furnace

核磁気共鳴法 NMR

英語表記:nuclear magnetic resonance method

核阻止能

英語表記:unclear stopping

角型カット

英語表記:square cutting

角錐コレット

英語表記:inverted pyramidal collet

角度研磨法

英語表記:angle lap method

角度分解オージェ電子分光法

英語表記:angle-resolved Auger electron spectroscopy

活性汚泥処理装置

英語表記:activated sludge process equipment

活性化

英語表記:activation

活性化エネルギー

英語表記:activation energy

活性種 ラジカル

英語表記:activated species radical

活性炭ろ過器 活性炭塔

英語表記:carbon filter activated carbon filter

活性炭吸着塔

英語表記:activated carbon filter

乾式排ガス処理装置

英語表記:dry type exhaust gas abatement equipment

乾燥

英語表記:drying

乾燥機

英語表記:heat oven

乾燥装置

英語表記:dryer

乾燥装置

英語表記:drying equipment

乾燥方法

英語表記:drying process

感震装置

英語表記:seismometer

環化ゴム

英語表記:cyclic rubber

環境制御型走査電子顕微鏡

英語表記:environmental scanning electron microscope

環境耐性

英語表記:environmental stability

監視槽

英語表記:monitor tank

緩衝フッ酸溶液

英語表記:buffered hydrofluoric acid

緩和誘起ゲッタリング

英語表記:relaxation-induced gettering

貫通転位

英語表記:threading dislocation

還元剤注入装置

英語表記:dechlorination equipment

還元性

英語表記:deoxidization

金型クリーニング

英語表記:mold cleaning

金型温度

英語表記:mold temperature

型締力

英語表記:mold clamping force

型内圧力

英語表記:internal die pressure

重ね合せ精度 レジストレーション精度

英語表記:overlay accuracy

重ね合わせ

英語表記:overlay

重ね合わせ精度

英語表記:overlay accuracy

書き込みエラー 消去エラー

英語表記:write error erase error

書き込みフェイル 消去フェイル

英語表記:write fail erase fail

書き込み許容エラー 回数 消去許容エラー

英語表記:write error allowance erase error allowance

壁との相互作用

英語表記:plasma¯wall interactions

片面ポリシング機

英語表記:single side polishing machine

片面ラップ盤

英語表記:single side lapping machine

片面研磨装置

英語表記:single side polisher