い の半導体用語

1探針法

英語表記:one-point probe method

EBデータ変換

英語表記:EB data converston

ECR エッチング装置

英語表記:electron cyclotron resonance etching system

ECR スパッタリング装置

英語表記:electron coupling resonance sputtering system

ECR プラズマCVD装置

英語表記:electron coupling resonance plasma enhanced CVD system

ECRエッチング装置

英語表記:Electron Cyclotron Resonance Plasma Etcher

ECRプラズマCVD

英語表記:Electron Cyclotron Resonance plasma CVD

EEMポリシング

英語表記:elastic emmision machining

ELID研削

英語表記:electrolytic in process dressing grinding

ESD保護

英語表記:electrostatic discharge protection

EUVの光源

英語表記:Extremly Ultra Violet

EUV露光リソグラフィ

英語表記:Extremly Ultra Violet Lithography

I/Q位相エラー測定

英語表記:I/Q phase error measurement

inーsitu

英語表記:in-situ

イオナイザ

英語表記:ionizer

イオンアシスト反応

英語表記:lon¯assisted reactions

イオンインプランテーション

英語表記:ion implantation

イオンエネルギー分析

英語表記:ion energy analysis

イオンクロマトグラフ

英語表記:ion chromato graph

イオンビーム

英語表記:ion beam

イオンビーム  スパッタリング装置

英語表記:ion beam sputtering system

イオンビームエッチング装置

英語表記:ion beam etching equipment

イオンビームエッチング装置 イオンミリング装置

英語表記:ion beam etching system ion milling system

イオンビームリソグラフィ

英語表記:ion beam lithography

イオンプレーティング装置

英語表記:ion plating system

イオンマイクロプローブ分析法 IMMA

英語表記:ion mocro probe mass analysis method

イオンミリング

英語表記:ion milling

イオン温度

英語表記:Ionic temperature

イオン化

英語表記:ionization

イオン化断面積

英語表記:Ionization cross section

イオン源

英語表記:ion source

イオン源

英語表記:ion source

イオン交換樹脂

英語表記:ion exchange resin

イオン交換樹脂交換容量 イオン交換容量

英語表記:ion exchange capacity

イオン交換装置

英語表記:ion exchange equipment

イオン交換膜

英語表記:ion exchange membrance

イオン散乱過程

英語表記:ion scattering

イオン照射

英語表記:ionic bombardment

イオン注入

英語表記:ion implantation

イオン注入

英語表記:Ion Implantation

イオン注入 イオン打込み

英語表記:ion implantation

イオン注入ダメージ

英語表記:Implant Damage

イオン注入プロセス

英語表記:ion implantation process

イオン注入応用技術

英語表記:ion beam application

イオン注入過程

英語表記:ion implantation process

イオン注入装置

英語表記:ion implanter

イオン注入装置

英語表記:Ion Implantation System 

イオン注入誘起欠陥

英語表記:ion-induced lattice defect

イオン電流密度

英語表記:ion current density

イオン分解能

英語表記:mass resolution

イナージェンガス消火設備

英語表記:inergen gas extinguishing system

イマージョンレンズ

英語表記:Immersion lens

イメージセンサテストシステム

英語表記:image sensor test system

イメージプロセッサユニット IPU

英語表記:image processor unit

イメージリバーサルプロセス

英語表記:Image reversal process

イルミネータ

英語表記:illuminator

インカ マーカ

英語表記:inker marker

インクジェット式マーキング装置

英語表記:inkjet printer

インゴット

英語表記:ingot

インゴット回転式切断機

英語表記:rotating ingot slicing machine

インゴット端面形状測定装置

英語表記:ingot end face bow measuring system

インサートリング フロッグリング インターフェースリング ハウジング ポゴタワー

英語表記:insert ring frog ring interface ring housing pogo tower

インジェクタノズル

英語表記:injector nozzle

インターナルギア 内歯歯車

英語表記:internal gear

インタフェースタイミング

英語表記:interface timing

インタフェースユニット

英語表記:interface unit

インデクサ

英語表記:indexer

インデクサ フィーダ

英語表記:indexer

インデックスタイム

英語表記:index time

インデックス送り

英語表記:indexing

インデックス量

英語表記:index amount

イントリンシック

英語表記:intrinsic gettering

イントリンシックゲッタリング

英語表記:Intrinsic Gettering, Internal Gettering: IG

インナリード

英語表記:inner lead

インナリードボンディング インナリードボンダ

英語表記:inner lead bonding inner lead bonder

インバータ(Inverter)回路

英語表記:

インフィード研削

英語表記:infeed grinding

インプリント特性

英語表記:imprint characteristics

インプロセスゲージ IPG

英語表記:in-process gauge

インライン

英語表記:in line

インラインガスフィルタ

英語表記:in-line gas filter

インラインシステム

英語表記:inline system

インラインパーティクノレモニタ

英語表記:inline depth detecting monitor

インラインヒータ

英語表記:inline heater

インライン深さ検出モニタ

英語表記:inline depth detecting monitor

位相シフトマスク

英語表記:Phase-shifting mask

位相比較器

英語表記:phase comparator

異種金属間接触

英語表記:metal-metal contact

異種金属接触信頼性

英語表記:reliability of metalーmetal contact

異常拡散

英語表記:anomalous diffusion

異常酸素析出

英語表記:AOP:Anomalous Oxygen Precipitation, precipitate

異方性

英語表記:anisotropic

異方性エッチング

英語表記:

異方性エッチング

英語表記:anisotropic etching

異方性エッチング

英語表記:anisotropic etching

移載インターロック

英語表記:transfer interlock

移載精度

英語表記:transfer accuracy

移送コレット 移送ノズル

英語表記:transfer collet transfer nozzle

移動度

英語表記:Mobility

移動度

英語表記:mobility

移動度

英語表記:mobility

一過式冷却水設備

英語表記:one pass condensing water system one pass cooling water system

一括式X線露光装置

英語表記:X-ray full wafer aligner

一次研磨

英語表記:stock removal polishing

一次純水

英語表記:primary pure water

一次純水装置 メイクアップシステム

英語表記:primary pure water system make-up system

一次粒子

英語表記:primary particle

一槽式洗浄装置 ワンバス式洗浄装置 単槽型洗浄装置

英語表記:one bath type cleaning equipment

一段熱処理

英語表記:annealing

一方向流型クリーンルーム

英語表記:unidirectional flow clean room

印字精度

英語表記:marking accuracy

陰極結合

英語表記:cathode coupling

隠面消去

英語表記:Hidden surface removal

色差分離処理

英語表記:YC separate

色再現性試験

英語表記:color linearity

平コレット フラットノズル

英語表記:flat collet flat nozzle