き の半導体用語

Cat CVD装置 触媒CVD装置

英語表記:catalyst CVD system

キーホール

英語表記:key hole

キナルジン酸

英語表記:quinaldic acid

キネマチックカップリング

英語表記:kinematic coupling

キャドナビゲーション

英語表記:CAD navigation

キャビテーション洗浄

英語表記:cavitation jet cleaning

キャビティ

英語表記:cavity

キャピティ

英語表記:cavity

キャピラリ

英語表記:capillary

キャリーオーバ

英語表記:carry over

キャリア

英語表記:wafer carrier

キャリア

英語表記:carrier

キャリアの凍結

英語表記:carrier freeze out

キャリアガス

英語表記:carner gas

キャリブレーションボード

英語表記:calibration board

キャリヤ

英語表記:carrier

キャリヤガス

英語表記:carrier gas

キャリヤガス

英語表記:carrier gas

キャリヤサイズ

英語表記:carrier size

キャリヤテープ ボート

英語表記:carrier tape boat

キャリヤボックス

英語表記:carrier box

キャリヤラック

英語表記:carrier rack

キュアタイム

英語表記:curing time

キュア装置

英語表記:curing oven

キレート剤

英語表記:chelate agent

キレート剤添加洗浄薬品

英語表記:chelating agent added cleaning chemical

キレート樹脂吸着塔

英語表記:chelate resin column

基準面

英語表記:reference plane

基板

英語表記:substrate

基板エッチング

英語表記:windowing

基板依存性

英語表記:substrate poisoning

基板温度効果

英語表記:effects of substrate temperature

基板用語

英語表記:ingot

基板冷却機構

英語表記:substrate cooling mechanism

基本ゲート

英語表記:

揮発性と不揮発性メモリ

英語表記:

期待値パターン

英語表記:expectation value pattern

機械的方法

英語表記:mechanical cleaning method

機能モデル

英語表記:functional model

機能仕様

英語表記:functional specification

機能水

英語表記:functional water(ozonated UPW, hydrogenated UPW, specific gas dissolved UPW et.al)

機能水

英語表記:functional water

機能設計とツール

英語表記:design and tool for function design

気圧倍率補正案

英語表記:magnification correction system for atmospheric pressure

気相エピタキシャル成長装置

英語表記:vaxialapor phase epitaxial growth system

気相拡散

英語表記:gas-phase diffusion

気相拡散

英語表記:vapor-phase diffusion

気相拡散法

英語表記:vapor Phase diffusion method

気相成長

英語表記:vapor phase growth

気相洗浄装置

英語表記:vapor phase cleaninge equipment

気体浮上搬送装置

英語表記:gas floating transportationn

気密封止

英語表記:hermetic seal

気密封止

英語表記:hermetic sealing

気流可視化

英語表記:airflow visualization

気流速度

英語表記:airflow velocity

気流平行性

英語表記:airflow parallelism

吸じん装置

英語表記:dust collector

吸湿性解析

英語表記:simulation of moisture absorption

吸収

英語表記:absorption

吸収体

英語表記:absorber

吸収体エッチング

英語表記:absorber pattern etching

吸着

英語表記:adsorption

吸着/非吸着 バキューム/ノンバキューム

英語表記:vacuum/nonvacuum

吸着ステージ

英語表記:vacuum chuck stage

吸着プレート

英語表記:vacuum plate

吸着材式排ガス処理装置

英語表記:exhaust gas abatement equipment by absorption process

吸着式ドライヤ

英語表記:absorption dryer

許容発熱負荷

英語表記:allowed heat load

共晶ボンディング

英語表記:eutectic bonding

強誘電体材料

英語表記:ferroelectric material

強誘電体薄膜

英語表記:ferroelectric thin film

強誘電体膜

英語表記:ferroelectric film

鏡面ウエーハ 表面検査装置表面LSM検査装置

英語表記:laser surface scanner light scattering measurement system

鏡面研磨

英語表記:鏡面研磨

鏡面面取り装置

英語表記:edge polisher

局所電界効果

英語表記:local electric field effect

均一性

英語表記:uniformity

均一性

英語表記:uniformity

均熱管

英語表記:liner tube

均熱長

英語表記:flat zone length

禁止帯

英語表記:

禁制帯幅のエネルギー

英語表記:bandgap energy

緊急ガス遮断装置

英語表記:emergency gas shut off system

緊急シャワー

英語表記:emergency water shower

緊急遮断弁

英語表記:emergency stop valve

緊急排気設備

英語表記:emergency exhaust system

緊急用排ガス処理装置

英語表記:exhaust gas abatement equipment for emergency

近接ギャップ

英語表記:proximity gap

近接効果

英語表記:proximity effect

近接効果補正

英語表記:OpticalProximity Correction : OPC

近接転写

英語表記: proximity printing

近接露光方式

英語表記:proxmuty exposure method

金および白金拡散

英語表記:diffusion of Au and Pt

金ワイヤ

英語表記:Gold Wire

金属シリコン:MG-Si

英語表記:Metallurgical Grade-Silicon

金属汚染

英語表記:metal contamination

金属汚染

英語表記:metalic contamination

金属系基板

英語表記:metal substrate

金属埋め込み(プラグ)

英語表記:plug formation

切屑分離装置

英語表記:coolant separator

超音波、メガソニック

英語表記:ultrtasomc, megasomc