し の半導体用語

CMP工程の欠陥評価手法

英語表記:defect evaluation method of CMP process

Siノジュール

英語表記:Si nodule

CMOSの構造

英語表記:Complementary Metal Oxide Semiconductor

CMOSイメージセンサ

英語表記:

CMP (化学的機械研磨)

英語表記:Chemical Mechanical Polishing

CMP後洗浄

英語表記:cleaning after CMP

CMP廃水処理装置

英語表記:CMP waste water treatment equipment

CO2バブラ

英語表記:CO2bubbler

CVD装置

英語表記:chemical vapor deposition system

CVD有機シリコン酸化膜

英語表記:CVD organic silicon oxide

CZ結晶

英語表記:CZ crystal

CZ法

英語表記:Czochralski method

CZ法Si単結晶育成装置

英語表記:CZ method Si single crystal growth equipment

CZ法(チョクラルスキ法)

英語表記:Czochralski Method

Crマスク

英語表記:Cr on glass mask

Cu膜めっき

英語表記:copper electrolytic, electroless deposition

Schmid因子

英語表記:Schmid factor

Siアイランド

英語表記:Si island

Si異方性エッチング

英語表記:Si anisotropic etching

Si酸化

英語表記:Silicon Oxidation

Si窒化

英語表記:Silicon nitridation

シーケンシャルパターン発生器 SQPG

英語表記:sequential pattern generator

シース

英語表記:sheath

シーズニング

英語表記:seasoning

シーズニング

英語表記:seasoning

シーソー

英語表記:see saw

シート抵抗測定

英語表記:sheet resistance measurement

シードチャック

英語表記:seed chuck

シード移動ストローク

英語表記:seed lift travel

シード移動速度

英語表記:seed lift rate

シード回転速度 結晶回転速度 S/R

英語表記:seed rotation rate crystal rotation

シード軸

英語表記:seed shaft

シード層

英語表記:seed layer

シーム

英語表記:seam

シーム溶接装置

英語表記:parallel seam resistance welding equipment

シールドルーム

英語表記:shielding room

シェープ

英語表記:shape

シクロプタン誘導体

英語表記:cyclobutane derivative

システムLSI

英語表記:system LSI

システムLSI 

英語表記:

システムLSIテストシステム SoCテストシステム ミックスドシグナルテストシステム

英語表記:system LSI test system system on chip test system mixed signal test system

システム端末

英語表記:terminal

シフトレジスタ

英語表記:

シャロー ジャンクション

英語表記:shallow junction

シャワー板 カソード

英語表記:shower plate cathode

シュムープロット

英語表記:shmoo plot

ショット

英語表記:shot

ショットキ バリア ダイオード

英語表記:

ショットキバリア

英語表記:Schottky barrier

ショットキ効果

英語表記:Schottky effect

ショットキ障壁

英語表記:Schottky barrier

ショットスケーリング ショット倍率

英語表記:shot scaling

ショットローテーション

英語表記:shot rotation

ショット数

英語表記:number Of shots

ショルダ

英語表記:shoulder

シリカ

英語表記:silica

シリカ計

英語表記:silica analyzer

シリコン

英語表記:Silicon

シリコンサイクル

英語表記:

シリコントレンチ

英語表記:silicon trench

シリコンバレー

英語表記:Silicon Valley

シリコン酸化膜

英語表記:silicon dioxide

シリコン単結晶の物性

英語表記:physical properties of single crystal

シリコン単結晶成長

英語表記:silicon single crystal growth

シリコン融液対流

英語表記:silicon liquid phase convection

シリサイド

英語表記:silicide

シリサイド化

英語表記:silicidation

シリル化プロセス

英語表記:silyl process

シリル化処理装置

英語表記:silylation system

シリンダキャビネット

英語表記:cylinder cabinet

シリンダボンベ 内部研磨クリーンボンベ

英語表記:cylinder ultra clean cylinder

シリンダ型装置

英語表記:

シロキサン

英語表記:siloxane

シロキサン結合

英語表記:siloxane bonding

シンギュレーションセパレート

英語表記:singulation separate

シングルカセットロータ

英語表記:single cassette rotor

シンタ

英語表記:sinter

シンニング

英語表記:thinning

シンニング

英語表記:thinning

下地ダメージ

英語表記:underlying damage layer

下地段差効果

英語表記:Effects of substrate steps

下地膜

英語表記:liner

下定盤

英語表記:lower lapping plate

仕事関数

英語表記:work function

仕上研磨 ファイナル スーパーファイナル

英語表記:final polishing final super final

仕様

英語表記:

指向性

英語表記:directivity

紫外線殺菌器 UV殺菌器

英語表記:ultraviolet sterilizer

紫外線酸化装置

英語表記:ultraviolet-ray oxidation equipment

試験周波数 動作周波数

英語表記:test frequency operating frequency

自然酸化除去

英語表記:native oxide removal

自然酸化膜

英語表記:native oxide

自然酸化膜

英語表記:native oxide

湿式排ガス処理装置

英語表記:wet type exhaust gas abatement equipment

質量分析器

英語表記:Mass Analyzer

質量分析計

英語表記:mass analyzing system

質量分析能力

英語表記:mass analyzing capability

斜光照明

英語表記:oblique lighting

斜入射干渉計方式

英語表記:grading incidence interferometer method

斜入射照明

英語表記:off—axis illumination

遮光帯

英語表記:shielding light band

主放電

英語表記:main discharge

種結晶

英語表記:seed crystal

種子結晶

英語表記:seed crystal

種棒切断 種子切断

英語表記:seed cut

収差

英語表記:aberration

収束イオンビーム法 FIB

英語表記:focused ion beam

周辺部除外領域

英語表記:edge exclusion

周辺露光 ウェーハ周辺露光 選択露光

英語表記:optical edge bead remover

周辺露光装置

英語表記:wafer edge eXPOSUre

修正キャリヤ

英語表記:dressing carrier conditioning carrier

終点検出

英語表記:endpoint detector

終点検出

英語表記:end point momtoring

終点検出

英語表記:end point detection

終点検知

英語表記:end point detection

集積ガスユニット

英語表記:integrated gas unit

集積化部品

英語表記:integrated components

集束イオンビーム(FIB)法

英語表記:Focused Ion Beam

集束イオンビーム露光

英語表記:focused ion-beam exposure

集中監視盤 中央監視制御

英語表記:central monitoring and control system

縮小レンズ光学系

英語表記:reduced lens-optical system

縮小転写イオン光学系

英語表記:ion optics for projection 10n beam lithography

縮小倍率

英語表記:reduction ratio

縮小露光

英語表記:reduction exposure, El-TV lithography

縮小露光系

英語表記:image reduction system

出力パターンセンス法

英語表記:sensitizing pattern generation method

少量危険物貯蔵庫

英語表記:store room for small quantity dangerous

昇降温プロファイル

英語表記:temperature up and down profile

晶癖

英語表記:crystal habit

晶癖線

英語表記:seam line

焼却装置

英語表記:incineration equipment

焦点・非点補正

英語表記:focus・astigmatism revision

焦点深度 DOF

英語表記:depth of focus D.O.F

焦点面

英語表記:focal plane

照射損傷

英語表記:irradiation damage

照射量補正

英語表記:dose modulation correction method

照度むら

英語表記:illumination uniformity

照明系

英語表記:illumination system

照明条件差

英語表記:effects of difference of illumination conditions

省エネルギー設備

英語表記:energy saving system

省レジスト技術

英語表記:reduced resist consumption

衝突・無衝突減衰

英語表記:collision・nonーcollision attenuation

衝突周波数

英語表記:collision frequency

衝突断面積

英語表記:collision cross section

触針式表面粗さ測定

英語表記:stylus surface roughness measurement

触媒湿式酸化処理装置

英語表記:catalyzed wet oxidation equipment

触媒樹脂脱酸素装置

英語表記:oxyducgen reduction equipment with catalytic resin

信号処理演算回路

英語表記:Signal processing operating circuit

振動

英語表記:vibration

振動ピックアップ

英語表記:vibration pickup

振動レベル計

英語表記:vibration level meter

振動解析終点検出法

英語表記:end point detection by vibration analysis

振動周波数分析器

英語表記:vibration frequency analyzer

振動励起

英語表記:vibrational excitation

新線送り量

英語表記:length of fresh wire feeding

浸漬式エッチング装置

英語表記:immersion wet etching system

深冷空気分離装置

英語表記:cryogenic air separator

真空ロボット

英語表記:robot for using in vacuum

真空乾燥装置

英語表記:vacuum drying equipment

真空計

英語表記:vacuum gauge

真空紫外光吸収分光

英語表記:vacuum ultra violet absorption spectroscopy

真空紫外線照射除電装置

英語表記:deep ultraviolet charge neutralizer

真空紫外発光分析

英語表記:vacuum ultra Violet emission spectroscopy

真空蒸着

英語表記:evaporation

真空蒸着装置

英語表記:vacuum evaporation

真空掃除システム セントラルバキュームクリーニング

英語表記:central vacuum cleaning system

真空脱気塔

英語表記:vacuum degagifier

真空法

英語表記:high vacuum method

真性半導体

英語表記:intrinslC semiconductor

真性半導体

英語表記:intrinsic semiconductor

真利値表

英語表記:

親水性

英語表記:hydrophilicity

親水性表面

英語表記:hydrophilic surface

進捗管理システム

英語表記:work in process tracking system