せ の半導体用語

SEMIスタンダード

英語表記:semi standards

Seccoエッチング

英語表記:Secco etching

セグメント

英語表記:segment

セットアップ時間、ホール度時間

英語表記:

セミコンショー

英語表記:SEMICON SHOW

セミフルカット

英語表記:semi full cutting

セリアスラリー

英語表記:ceria slurry

セルフアライメント

英語表記:self alignment effect

セルフグラインド

英語表記:self grinding

セルフバイアス 陰極電圧降下 Vdc

英語表記:self bias

セルプロジェクション

英語表記:cell projection

センタブロック

英語表記:center block

センタリング

英語表記:centering

センダ

英語表記:sender

制御空気源装置

英語表記:control-air source equipment

成形タイム

英語表記:molding time

成形金型 マルチブランジャ金型 コンベンショナル金型

英語表記:molding die multiple plunger molding die conventional molding die

成長の異方性

英語表記:anisotropy of growth

成長結晶最大直径

英語表記:growing crystal diameter

成長縞

英語表記:striation

成長縞

英語表記:growth striation

成長速度

英語表記:growth rate

成膜均一性

英語表記:process uniformity

正・負イオン交互照射

英語表記:positive/negative Ions

正孔

英語表記:hole

清浄度 清浄度レベル

英語表記:cleanliness cleanliness level

清浄度クラス

英語表記:cleanliness class

清浄度回復能

英語表記:cleanliness recovery characteristic clean down capability

清浄度管理

英語表記:cleanliness control

生菌数 細菌数

英語表記:number of micro organisms number of bacteria

生産スケジュール

英語表記:production cchedule

生産管理システム

英語表記:production management system

生産用真空設備

英語表記:process vacuum system

生物脱窒素装置

英語表記:biological nitrogen removal equipment

精研

英語表記:fine grinding

精製速度

英語表記:refining speed

精度

英語表記:accuracy

精密ろ過膜 メンブレンフィルタ MF

英語表記:micro filter membrane filter

製品安全データシート MSDS

英語表記:material safety data sheet

静圧軸受

英語表記:static pressure bearing

静電スキャン

英語表記:electrostatic scan

静電ダメージ

英語表記:electrostatic damage

静電チャック

英語表記:electrostatic chuck

静電チャック

英語表記:electrostatic clampless holder

静電チャックサセプタ

英語表記:static electric chuck sucepter

静電気テスタ 静電気チェッカ

英語表記:static electricity tester

静電靴

英語表記:static-dissipative shoes

静電除去機

英語表記:static eliminator

静電電位計

英語表記:electrostatic potentiometer

静電容量方式

英語表記:capacitive sensing method

析出

英語表記:precipitation

析出

英語表記:precipitation

析出酸素量

英語表記:amount of oxygen precipitation

石英るつぼ

英語表記:quartz crucible

石英管洗浄装置

英語表記:quartz tube cleaning equipment

積算形電気移動度分析器 EAA

英語表記:integral mobility analyzer electrical aerosol analyzer

積層パッド

英語表記:stacked pad

積層欠陥

英語表記:stacking fault

積和演算器

英語表記:multiply and accumulate arithmetic logic unit

赤外干渉法

英語表記:infrared interference method

赤外吸収

英語表記:infrared absorption

赤外吸収分光法 IR

英語表記:infrared absorption spectroscopy

赤外線アニール装置

英語表記:infrared annealer

赤外線オーブン

英語表記:IR oven

赤外線トモグラフ IR-LST

英語表記:infrared laser scaltering tomograph

赤外線干渉終点検出法

英語表記:end point detection by infrared interferomety

赤外線集中加熱法

英語表記:infrared heating method

切断機

英語表記:slicing machine

切断機

英語表記:スライシングマシン

接合

英語表記:junction

接触ばっ気装置

英語表記:biological contact aeration equipment

接触角

英語表記:contact angle

接触子 コンタクト

英語表記:contactor

接続精度

英語表記:butting accuracy

接地設備

英語表記:ground earth

接地電極 陰極

英語表記:ground electrode anode

接着SOIウェーハ 張り合わせSOIウェーハ

英語表記:bonding silicon on insulator wafer

接着性

英語表記:adhesion

設計アルゴリズム

英語表記:design algorithm

設備移動管理システム

英語表記:equipment utilization management system

洗眼器

英語表記:eye washer

洗浄/前処理

英語表記:cleaning for contact/via hole

洗浄・乾燥装置

英語表記:cleaner and dryer

洗浄技術

英語表記:cleaning technology

洗浄装置

英語表記:cleaning system

洗浄装置

英語表記:cleaning equipment

洗浄装置

英語表記:cleaning equipment

洗浄表面計測

英語表記:evaluation Of cleaned surface

洗浄評価

英語表記:cleaning evaluation

潜傷

英語表記:blind scratch

線欠陥

英語表記:line defect

線欠陥試験

英語表記:line defect test

線源径

英語表記:source Size

線接触型ポリシング装置

英語表記:linear contact polishing machine

線幅精度

英語表記:line width accuracy

選択CVDプロセス

英語表記:selective CVD process

選択W-CVD機構

英語表記:mechanism Of selective W-CVD

選択・非選択CVD

英語表記:selective CVD, blancket CVD

選択エピタキシャル成長

英語表記:Selective Epitaxial Growth .SEG

選択エピ成長

英語表記:selective epitaxial growth

選択拡散

英語表記:selective diffusion

選択鏡面エッチング

英語表記:selective nurror surface etching

選択研磨

英語表記:selective polishing

選択性

英語表記:selectivity

選択成長

英語表記:selective growth

選択破れ

英語表記:selective break

選択比

英語表記:selectivity

選択比

英語表記:Selectivity Etching Ratio

選択比

英語表記:selectivity

遷移層

英語表記:transition layer

遷移領域

英語表記:transition layer