え の半導体用語

ABCパラメータ

英語表記:ABC parameter

ACパラメトリックテスト ACテスト

英語表記:AC parametric test AC test

AC特性

英語表記:

AD-DA変換機

英語表記:

ATスピードテスト

英語表記:at speed test

Alリフロー

英語表記:Al reflow

Al高圧リフロー

英語表記:Al high pressure reflow filling

A欠陥

英語表記:A-defect

FA対応バーンイン装置

英語表記:corresponding burn -in system of factory automation

FDーSOI(完全空乏型SOI)

英語表記:Fully Depleted Silicon on Insulator

FET(電界効果トランジスタ)

英語表記:Field Effect Transistor

FQA 平坦度適用領域

英語表記:fixed quality

FZ結晶

英語表記:FZ crystal

FZ装置

英語表記:FZ equipment

FZ法

英語表記:Floating Zone method

FeRAM構造

英語表記:FeRAM structure

HEPAフィルタ

英語表記:high efficiency particulate air filter HEPA filter

HF治具

英語表記:high frequency test head interface

HF蒸気洗浄装置

英語表記:HF vapor cleaninge equipmen

LER(ライン エッジ ラフネス)

英語表記:

LPDモード(Light Point Defect)面の粗さ

英語表記:roughness by LPD mode

MCL

英語表記:metal contamination level

MCZ結晶引き上げ装置

英語表記:MCZ crystal growth equipment

MCZ法

英語表記:Magnetic Field Applied CZ法

MCZ法

英語表記:magnetic field applied Czochralski method

Mアルカリ度 酸消費量

英語表記:M-alkalinity alkalinity

N20窒化

英語表記:Nitrous Oxide nitridation

N2O窒化

英語表記:N2O nitridation of silicon oxide

NC制御面取装置

英語表記:NC-control chamfering machine

NDウェーハ

英語表記:neutro transmutation dopingwafer

NH3 窒化

英語表記:ammnium nitridation

NO酸窒化

英語表記:NO nitridation of silicon oxide

NO窒化

英語表記:Nitric Oxide nitridation

SACエッチング

英語表記:self-aligned contact etching

SBT系強誘電体

英語表記:SBT family ferroelectric

SMD(表面欠陥)

英語表記:Surface Micro Defect

SOD塗布

英語表記:SOD塗布

SOI技術

英語表記:Silicon On Insulator Technology

SOI結晶

英語表記:Silicon on Insulator

SR露光装置 SOR露光装置

英語表記:synchtionrotron radiation

STCセル構造

英語表記:STC cell structure

STIエッチング

英語表記:STI etching

Si/Al界面

英語表記:Si/Al interface

Si高抵抗層析出

英語表記:Si precipitates with high resistance

Si酸窒化

英語表記:nitridation of Silicon oxide

Sパラメータ

英語表記:S parameter

Sボンド S字ボンド

英語表記:S shape bond

X-Yステージ X-Yテーブル

英語表記:X-Y stage X-Y table

X-Y軸位置決め精度

英語表記:X-Y axis positioning accuracy

XYコーディネータ

英語表記:XY coordinator

XYステージ

英語表記:XY stage

X線マスク

英語表記:X-ray mask

X線リソグラフィ

英語表記:X-ray lithography

X線源

英語表記:X—ray source

X線光電子分光法 XPS

英語表記:X-ray photoelectron spectroscopy

X線照射ダメージ

英語表記:X-ray irradiation damage

X線露光装置

英語表記:X-ray aligner

n型半導体

英語表記:n-type semiconductor

X線トポグラフ法 XRT

英語表記:X-ray topography

X線回析法

英語表記:X-ray diffractometry

X線検査装置

英語表記:X-ray inspection equipment

エアーバック方式

英語表記:air-back system

エアアイソレーション

英語表記:air isolation

エアカーテン

英語表記:air curtain

エアシャワ

英語表記:air shower

エアタオル

英語表記:air towel

エアテンション

英語表記:air tension

エアフロー

英語表記:air flow

エアベント

英語表記:air vent

エアロック

英語表記:air lock

エアワッシャ

英語表記:air washer

エア加圧方式

英語表記:air pressure type

エキシマレーザ

英語表記:excrmer laser

エキシマレーザ露光

英語表記:excimer laser exposure

エキストリンシックゲッタリング

英語表記:Extrinsic Gettering : IG

エキスバンドステージ

英語表記:expand stage

エキスバンド装置 エキスパンド率

英語表記:expansion ratio

エクステンション

英語表記:Extension

エクストリンシックゲッタリング

英語表記:Extrinsic Gettering, External Gettering: IG

エクストリンシックゲッタリング

英語表記:extrinsic gettering

エジェクタ

英語表記:ejector

エックス線光電子分光法

英語表記:X-ray Photoelectron Spectroscopy

エッジ エクスクルージョン

英語表記:edge exclusion

エッジイクスクルージョン

英語表記:edge exclusion

エッジセンサ

英語表記:edge sensor

エッジリンス エッジクリーン

英語表記: edge bead remover E.B.R.

エッチストップ

英語表記:etch stop

エッチストップ

英語表記:ecth stop

エッチストップ

英語表記:etch stop

エッチドウェーハ エッチングウェーハ

英語表記:etched wafer etching wafer

エッチバック

英語表記:etch back

エッチバック

英語表記:etchi bakku

エッチバック

英語表記:Etch Back

エッチング

英語表記:Etching

エッチング

英語表記:etching

エッチング

英語表記:etching

エッチングプロセス

英語表記:etching process

エッチング開口率

英語表記:exposed area ratio

エッチング均一性

英語表記:etching uniformity

エッチング均一性

英語表記:etch uniformity

エッチング残渣

英語表記:etch residue

エッチング室

英語表記:etching chamber

エッチング終点検出

英語表記:etching end point detection

エッチング終点検出機構

英語表記:etching end-point detection

エッチング選択比 エッチング選択制

英語表記:etch selectivity

エッチング装置

英語表記:etching equipment

エッチング装置

英語表記:etching system

エッチング速度

英語表記:etch rate

エッチング特性

英語表記:etching characteristics

エッチング反応生成物

英語表記:creative product by etching reaction

エネルギー コンタミネーション

英語表記:energy contamination

エピタキシ

英語表記:Epitaxy

エピタキシャルウエーハ

英語表記:epitaxial wafer

エピタキシャル成長

英語表記:epitaxial growth

エピタキシャル成長機構

英語表記:epitaxial growth mechanism

エピタキシャル成長装置

英語表記:epitaxial growth system

エピタキシャル成長装置

英語表記:epitaxial growth systems

エピタキシャル層欠陥

英語表記:epitaxial defect

エピタキシャル膜厚測定方法

英語表記:measurement method of epitaxial layer thickness

エミッタ・ベース・コレクタ

英語表記:

エリプソメトリ膜厚測定

英語表記:elipsometry thickness measurement

エレクトレットエアフィルタ

英語表記: electric air filter

エレクトロマイグレーション

英語表記:electromigration

エレクトロマイグレーション(EM)

英語表記:Electro-Migration

エレクトロンサプレッサ バイアス

英語表記:electron suppressor bias

エレクトロンフラッドガン

英語表記:electron flood gun

エレベーテッド・ソース・ドレイン

英語表記:Elevated Souece Drain

エロージョン

英語表記:erosion

エロージョン

英語表記:erosion

エンドステーション

英語表記:end-station

エンドレス バンドソー

英語表記:endless band saw

液浸ステッパ

英語表記:Immersion Stepper

液相エピタキシャル成長装置

英語表記:liquid phase epitaxial growth system

液体ソース供給装置

英語表記:liquid source delivery system

液体微粒子計

英語表記:liquid borne particle counter

液体封止引上法 加圧引上法

英語表記:liquid encapsulation Czochralski method high pressure Czochralski method

円テーブル真空チャック

英語表記:vacuum chuck

円形カット

英語表記:circle cultting

円筒型プラズマエッチング装置

英語表記:barrel type plasma etching system

延性モード研削法

英語表記:brittle mode grinding

演算増幅器

英語表記:operational amplifier

演算増幅器

英語表記:operational amplifier

演算能力

英語表記:

遠心スプレー洗浄装置

英語表記:centrifugal spray cleaning equipment

遠心乾燥装置 スピンドライヤ

英語表記:centrifugal drying   equipment spin rryer

塩酸酸化

英語表記:HCl oxidation

塩酸酸化

英語表記:hydrochloric acid oxidation

塩素剤注入装置 塩素処理装置

英語表記:chlorination equipment

工ステルイ化率

英語表記:ester rate

工ッジリンス

英語表記:edge rmse

工ッチング耐性

英語表記:dry etch resistance

工ッチング反応機構

英語表記:etching reaction mechanisms