0 の半導体用語

CMP工程の欠陥評価手法

英語表記:defect evaluation method of CMP process

Ir、IrO2

英語表記:iridium, iridium dioxide

RIEダメージ

英語表記:Reactive Ion Etching damage

Siノジュール

英語表記:Si nodule

1探針法

英語表記:one-point probe method

2パステスト

英語表記:two-pass testing double insertion testing

2ボート装置

英語表記:two boat system

2次イオン質量分析法

英語表記:Secondary Ion Mass Spectrometry

2床3塔型純水装置 2B3T型純水装置

英語表記:two bed three tower deionizing equipment two bed three tower demineralizing equipment

2進表現

英語表記:binary code expression

3D-NANDフラッシュメモリ

英語表記:

3Dグラフィックス用LSI

英語表記:LSI for three Dimension graphics

3点基準

英語表記:three points reference

4探針法

英語表記:four-point probe method

ABCパラメータ

英語表記:ABC parameter

ACF 異方性導電膜

英語表記:anisotropic conductive film

ACパラメトリックテスト ACテスト

英語表記:AC parametric test AC test

AC特性

英語表記:

AD-DA変換機

英語表記:

AFM

英語表記:atomic force microscope

AGA EGA

英語表記:advanced global alignment enhanced global alignment

AGV 自走型搬送車

英語表記:automatic guided vehicle

ALC アルゴリズムコントローラ

英語表記:algorithm controller

ALD(原子層堆積)

英語表記:Atomic Layer Deposition

APM洗浄

英語表記:ammonia-hydrogen peroxide mixture cleaning

ASIC

英語表記:

ASIC

英語表記:application specific integration circuit

ASSP

英語表記:Application Specific Standard Product

ATE テスタ

英語表記:automatic test equipment test system

ATPG

英語表記:automatic test program generator

ATスピードテスト

英語表記:at speed test

AWG 波形シンセサイザ

英語表記:arbitrary wave form generator

Alリフロー

英語表記:Al reflow

Al高圧リフロー

英語表記:Al high pressure reflow filling

A欠陥

英語表記:A-defect

BBレシオ

英語表記:Book-to-Bill Ratio

BESOI

英語表記:Bond Etch SOL

BGA

英語表記:ball grid array

BIST(ビスト)

英語表記:Built in Self Test

BMD

英語表記:Bulk Microdefect

BPSGリフロー

英語表記:reflow of boro phospho silicate glass

BiCMOS

英語表記:Bipolar Complementary MOS

CAD

英語表記:Computer Aided Design

CCD

英語表記:Charge Coupled Device

CEマーキング

英語表記:CE marking

CIM

英語表記:computer integrated manufacturing

CIMアプリケーションフレームワーク

英語表記:CIM application frame work

CMOS

英語表記:complementary metal oxide semiconductor

CMOS

英語表記:

CMOSの構造

英語表記:Complementary Metal Oxide Semiconductor

CMOSイメージセンサ

英語表記:

CMP

英語表記:chemical mechanical polishing chemical mechanical planarization

CMP

英語表記:Chemical Mechanical Polishing

CMP

英語表記:chemical Mechanical Polishing

CMP (化学的機械研磨)

英語表記:Chemical Mechanical Polishing

CMP後洗浄

英語表記:post-CMP cleaning

CMP後洗浄

英語表記:cleaning after CMP

CMP装置

英語表記:CMP system

CMP廃水処理装置

英語表記:CMP waste water treatment equipment

CNC 凝縮核測定器

英語表記:condensation nucleus counter

CO2バブラ

英語表記:CO2bubbler

COB COG

英語表記:chip on board ship on glass

COO

英語表記:cost of ownership

COO

英語表記:cost of ownership

COP

英語表記:crystal originated particle

COP

英語表記:crystal originated particle

CPU、MPU

英語表記:Central Processing Unit、Microprocessing Unit

CSP

英語表記:chip size package

CVD

英語表記:chemical vapor deposition

CVD装置

英語表記:chemical vapor deposition system

CVD有機シリコン酸化膜

英語表記:CVD organic silicon oxide

CZ結晶

英語表記:CZ crystal

CZ法

英語表記:Czochralski method

CZ法Si単結晶育成装置

英語表記:CZ method Si single crystal growth equipment

CZ法(チョクラルスキ法)

英語表記:Czochralski Method

Cat CVD装置 触媒CVD装置

英語表記:catalyst CVD system

Crマスク

英語表記:Cr on glass mask

Cu膜めっき

英語表記:copper electrolytic, electroless deposition

DART

英語表記:distribution analysis in realtime

DCパラメトリックテスト DCテスト

英語表記:DC parametric test DC test

DCパラメトリックテストシステム

英語表記:DC parametric test system

DC測定ユニット

英語表記:DC mesurement unit

DFE

英語表記:design for environment

DFT

英語表記:Design for Testability

DGS

英語表記:device ground sence

DHF洗浄

英語表記:dolute hydrogen fluoride cleaning

DLTS

英語表記:deep level transient spectroscopy

DOP粒子 DOP

英語表記:DOP particle dioctyl phthalate particle

DOS粒子 DOS

英語表記:DOS particle dioctyl sebacate particle

DOWN TIME

英語表記:down time

DRAM

英語表記:dynamic random access memory

DRAM

英語表記:Dynamic Random Access Memory

DSA

英語表記:Directed Self Align

DSP

英語表記:Digital Signal Processor

DSP デジタルシグナルプロセッサ

英語表記:digital signal processor

DUT

英語表記:device under test

DUTボード ソケットボード テストボード ロードボード パフォーマンスボード フィクスチャボード マザーボード DUTーI/F DIB

英語表記:DUT boardsocket boardtest boardload boardperformance boardfixture boardmother boardDUT interfacedevice interface board

DUT電源 DPS バイアス電源

英語表記:DUT power supply device power supply

DWウェーハ

英語表記:diffused wafer

Dashエッチング

英語表記:Dash etching

Deal-Groveモデル

英語表記:Deal-Grove model

Double Patterning(1)

英語表記:Double Patterning

Double Patterning(2)

英語表記:Double Patterning

D欠陥

英語表記:D-defect

EBデータ変換

英語表記:EB data converston

ECR エッチング装置

英語表記:electron cyclotron resonance etching system

ECR スパッタリング装置

英語表記:electron coupling resonance sputtering system

ECR プラズマCVD装置

英語表記:electron coupling resonance plasma enhanced CVD system

ECRエッチング装置

英語表記:Electron Cyclotron Resonance Plasma Etcher

ECRプラズマCVD

英語表記:Electron Cyclotron Resonance plasma CVD

EDTA

英語表記:ethylendiamin tetra acetic acid

EEMポリシング

英語表記:elastic emmision machining

ELID研削

英語表記:electrolytic in process dressing grinding

ELTRAN

英語表記:Epitaxial Layer Transfer

EMS 環境マネジメントシステム

英語表記:enronmental management system

EPD

英語表記:Htch Pit Density

ES

英語表記:Engineering Sample

ESD保護

英語表記:electrostatic discharge protection

ESH EHS

英語表記:environment safety and health environmental health and safety

EUVの光源

英語表記:Extremly Ultra Violet

EUV露光リソグラフィ

英語表記:Extremly Ultra Violet Lithography

FA対応バーンイン装置

英語表記:corresponding burn -in system of factory automation

FDーSOI(完全空乏型SOI)

英語表記:Fully Depleted Silicon on Insulator

FET(電界効果トランジスタ)

英語表記:Field Effect Transistor

FPD

英語表記:flow pattern defect

FPD GFLD GF3D

英語表記:focal plane deviation gloval front least-squares deviation gloval front three points deviation

FPGA

英語表記:Field Programmable Gate Array

FPM洗浄

英語表記:hydrofluoric acid-hydrogen peroxide mixture cleaning

FQA 平坦度適用領域

英語表記:fixed quality

FRー4

英語表記:FR-4 Fiber Reinforced-4

FSG

英語表記:fluorine doped silicate glass

FT-IR (フーリエ変換赤外分光法)

英語表記:Fourie Transform Infrared spectroscopy

FUSI

英語表記:Fully Silicided

FZ結晶

英語表記:FZ crystal

FZ装置

英語表記:FZ equipment

FZ法

英語表記:Floating Zone method

FeRAM構造

英語表記:FeRAM structure

FinFET(Tri Gate MOS)

英語表記:

GBIR TTV

英語表記:global backside ideal range total thickness variation

GEM

英語表記:generic equipment model

GO/NO-GO試験 PASS/FAIL試験

英語表記:GO/NO-GO testPASS/FAIL test

HALO/ポケット

英語表記:HALO/ pocket

HAST プレッシャクッカ

英語表記:highly accelerated temperature and humidity stress test pressure cooker

HDL

英語表記:Hardware Description Language

HDP-CVD

英語表記:high density plasma CVD

HEPAフィルタ

英語表記:high efficiency particulate air filter HEPA filter

HF治具

英語表記:high frequency test head interface

HF蒸気洗浄装置

英語表記:HF vapor cleaninge equipmen

HPM洗浄

英語表記:hydrochloric acid-hydrogen peroxide mixture cleaning

HSG

英語表記:hemi spherical grained

HSMS

英語表記:high speed SECS message service

HSQ

英語表記:Hydrogensilsesqunoxane

Half pitchとNode

英語表記:

High-k膜(高誘電率膜)

英語表記:

ICP-MS

英語表記:inductively coupled plasma mass spectrometer

ICとLSI

英語表記:

ICの機能試験

英語表記:

IC内部回路

英語表記:

IDDQ試験 静止電源電流試験

英語表記:IDDQ test quiescent power supply current test

IDM

英語表記:Integrated Device Manufacturer

IDブレード

英語表記:inner diameter blade

IGBT

英語表記:Insulated Gate Bipolar Transistor

IMEC

英語表記:Interuniversity MicroelectronicsCenter

IPAべーパ乾燥

英語表記:IPA Vapor/Dry

IPAマランゴニ乾燥

英語表記:IPA marangoni drying

IPA回収・再生装置

英語表記:isopropyl alcohol reprocessor

IPA乾燥

英語表記:IPA vapor drying

IPA乾燥

英語表記:isopropyl alcohol drying

IPA蒸気乾燥

英語表記:IPA vapor drying

IPVD

英語表記:ionized physical vapor deposition

IPプロバイダ

英語表記:Intellectual Property (IP)Provider

IRLAS(赤外半導体レーザ吸収分光)

英語表記:infrared diode laser absorption spectroscopy

ISSCC

英語表記:International Solid-State Circuits Conference

ITOX

英語表記:internal thermal oxidation

International SEMATECH

英語表記:International SEMATECH

I/Oピン ドライバ/コンパレータ

英語表記:IOpindriver/comparator

I/O切り換え時間

英語表記:I/Oswitching transition

I/Q位相エラー測定

英語表記:I/Q phase error measurement

JEITA

英語表記:Japan Electronics antd Information Technology Industries Association

JPEGLSI

英語表記:JPEGLSI

KGD

英語表記:known good die

LAN

英語表記:local area network

LCA

英語表記:life cycle assessment

LCCO₂

英語表記:life cycle CO₂

LDD

英語表記:Lightly Doped Drain

LED

英語表記:Light Emitting Diode

LER(ライン エッジ ラフネス)

英語表記:

LOCOS

英語表記:local oxidation of silicon

LOG

英語表記:lead on chip

LPDモード(Light Point Defect)面の粗さ

英語表記:roughness by LPD mode

LSTD

英語表記:laser scattering tomograply defect

LSTD

英語表記:Laser Scattering Tomography Defect

LTV

英語表記:local thickness variation

Law-k膜

英語表記:

Linear Parabolicモデル

英語表記:Linear Parabolic model

MCBF

英語表記:mean cycle between failure

MCL

英語表記:metal contamination level

MCZ結晶引き上げ装置

英語表記:MCZ crystal growth equipment

MCZ法

英語表記:Magnetic Field Applied CZ法

MCZ法

英語表記:magnetic field applied Czochralski method

MOCVD

英語表記:metal organic CVD

MOS FET

英語表記:Metal Oxide Semiconductor Field Effect Transistor

MPU

英語表記:micro processor unit

MRAM(1)

英語表記:MagnetoresistiveRandom Access Memory

MRAM(2) (STT-MRAM)

英語表記:

MSDS

英語表記:material safety

MTBF

英語表記:mean time between failure

MTTR

英語表記:mean time to repair

MWBA

英語表記:mean wafers between asist

MWBF

英語表記:mean wafers between failure

Mixed Signal LSI

英語表記:

Mアルカリ度 酸消費量

英語表記:M-alkalinity alkalinity

N20窒化

英語表記:Nitrous Oxide nitridation

N2O窒化

英語表記:N2O nitridation of silicon oxide

NAND

英語表記:

NANDフラッシュメモリ

英語表記:

NA 開口数

英語表記:numerical aperture

NC制御面取装置

英語表記:NC-control chamfering machine

NDウェーハ

英語表記:neutro transmutation dopingwafer

NEDIA

英語表記:Nippon Electronic Device Industry Association

NH3 窒化

英語表記:ammnium nitridation

NOR

英語表記:

NO酸窒化

英語表記:NO nitridation of silicon oxide

NO窒化

英語表記:Nitric Oxide nitridation

N型半導体とP型半導体

英語表記:

OCR

英語表記:optical code reader

ODブレード

英語表記:outer diameter blade

OF加工

英語表記:Orientation Flat marking

OH基

英語表記:OH group

OPP

英語表記:Optical Precipitate Profiler

OSAT

英語表記:Outsourced Semiconductor Assembly & Test

OSF

英語表記:oxidation induced stacking fault

Overlay

英語表記:Overlay

PACE

英語表記:Plasma Assisted Chemical Etching

PBS Poly-silicon Back Seal

英語表記:PBS Poly-silicon Back Seal

PCB

英語表記:printed circuit board

PDC

英語表記:PersonalDigitalCellulartelecommunicationsystem

PFCガス

英語表記:PFC (perfluoro-compound)gas

PID温度制御

英語表記:PID temperature control

PIII

英語表記:Plasma Imersion Ion plantation

PLL

英語表記:Phase Locked Loop

PLLの仕様

英語表記:PLL specifications

PPMU

英語表記:per pin measurement unit

PPS

英語表記:per pin source

PRAM

英語表記:Phase Change RAM

PRTR 環境汚染物質排出移動登録

英語表記:pollutant release and transfer register

PSA

英語表記:pressure swing adsorption

PSG

英語表記:Phosphorous Silicate Glass

PSL粒子 標準粒子

英語表記:polystylene latex particle standard particle

PUA

英語表記:percent usable area

PVAスポンジ

英語表記:poly vinyl alcohol sponge

PZT系強誘電体

英語表記:PZT family ferroelectric

Porous Law-k膜

英語表記:

Pt

英語表記:platinum

P/n測定器

英語表記:P/n type measurement system

P偏光 ブルースター角入射測定方 PPB

英語表記:infrared absorption spectroscopy with P-polarized radiation at Brewster angle

QFP

英語表記:quad flat package

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 2 e M a s s Spectrometer

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 1 e M a s s Spectrometer

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 3 e M a s s Spectrometer

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 4 e M a s s Spectrometer

R-SF

英語表記:Ring Stacking Fault

RAM

英語表記:reliability availability maintainability

RCA洗浄

英語表記:RCA Cleaning

RCA洗浄

英語表記:RCA Cleaning

RCA洗浄法

英語表記:RCA clean

RC線路

英語表記:RC transmission line

RC遅延

英語表記:RC delay time

RF型加速器 RFQ型加速器

英語表記:radio frequency linac(linear accelerator) radio frequency quadrapole accelerator

RIBE

英語表記:Reactive Ion Beam Etching

RIE

英語表記:Reactive Ion Etching

RIE(反応性イオンエッチング)

英語表記:Reactive Ion Etching

ROMテストデータメモリ データメモリ

英語表記:ROM test data memory data memory

RTA(高温短時間アニール)

英語表記:Rapid Thermal Anneal

RTL

英語表記:Resister Transfer Level

RTP

英語表記:Rapid Thermal Process

RTP

英語表記:rapid thermal process

RTP酸化

英語表記:oxidation by Rapid Thermal Processmg

RTP酸化

英語表記:oxidation by Rapid Thermal Processmg

ReRAM

英語表記:Resistive Random Access Memory

Ru、Ru0 2

英語表記:ruthenium, ruthenium dioxide

SACエッチング

英語表記:self-aligned contact etching

SBT系強誘電体

英語表記:SBT family ferroelectric

SC1

英語表記:Standard Clean 1

SC2

英語表記:Standard Clean 2

SCALPEL

英語表記:Scattering with Angular Limitation in Projection Electron-beam Lithography

SDI FI

英語表記:silt density index fouling index

SEAJ

英語表記:Semiconductor Equipment Association of Japan

SECS

英語表記:semiconductor equipment community standard

SEMI

英語表記:Semiconductor Equipment and Materials Institute

SEMI S2

英語表記:SEMI S2

SEMI S8

英語表記:SEMI S8

SEMIスタンダード

英語表記:semi standards

SIMOX

英語表記:separartion by implanted oxygen

SIMOX

英語表記:separartion by implanted oxygen

SIMOX SOIウェーハ

英語表記:separation by implantaion of oxygen SOI wafer

SMD(表面欠陥)

英語表記:Surface Micro Defect

SMIF

英語表記:standard mechanical interface

SOD塗布

英語表記:SOD塗布

SOG

英語表記:spin-on-glass

SOI

英語表記:Silicon on Insulator

SOI

英語表記:silicon on insulator

SOI技術

英語表記:Silicon On Insulator Technology

SOI結晶

英語表記:Silicon on Insulator

SOS

英語表記:Silicon On Sapphire

SPM洗浄

英語表記:sulfuric acid-hydrogen peroxide mixture cleaning

SRAM

英語表記:Static Random Access Memory

SR露光装置 SOR露光装置

英語表記:synchtionrotron radiation

SSIS

英語表記:Society of Semiconductor Industry Specialists

STA

英語表記:Static Timing Analysis

STARC

英語表記:Semiconductor Technology Academic Research Center

STCセル構造

英語表記:STC cell structure

STI

英語表記:Shallow Trench Isolation

STIR

英語表記:site total indication reading

STIエッチング

英語表記:STI etching

Schmid因子

英語表記:Schmid factor

Seccoエッチング

英語表記:Secco etching

Si/Al界面

英語表記:Si/Al interface

Sirtl エッチング

英語表記:Sirtle etching

Siアイランド

英語表記:Si island

Si異方性エッチング

英語表記:Si anisotropic etching

Si高抵抗層析出

英語表記:Si precipitates with high resistance

Si酸化

英語表記:Silicon Oxidation

Si酸窒化

英語表記:nitridation of Silicon oxide

Si窒化

英語表記:Silicon nitridation

Sパラメータ

英語表記:S parameter

Sボンド S字ボンド

英語表記:S shape bond

TAT

英語表記:turn-around-time

TDDB試験 酸化膜経時破壊試験

英語表記:time dependent dielectric breakdown

TDR キャリブレーション

英語表記:time domain refractometry calibration

TDS 全蒸発残留物

英語表記: total dissolved solid

TED BED

英語表記:transient enhanced diffusion boron enhanced diffusion

TEG

英語表記:test elementary group

TEOS

英語表記:tetra ethoxy silane

TEOS -03 CVD

英語表記:TEOSー03 CVD

TEOS-O₃ CVD

英語表記:TEOS-O3 atmospheric pressure CVD

THB試験

英語表記:THB test

TIR

英語表記:Total Indicator Reading

TIR GFLR GF3R

英語表記:total indicator reading gloval front least-squares range gloval front three points range

TMAH

英語表記:tetramethyl ammonium hydroxide

TMP

英語表記:tester management processor

TOC 全有機炭素

英語表記:total organic carbon

TOC計 全有機炭素計

英語表記:total organic carbon analyzer

TOX 全有機ハロゲン化合物

英語表記:total organic halogen

TTLアライメント

英語表記:through-the-lens alignment

TTLオートフォーカス

英語表記:through-the-lens auto focusing

TTRアライメント

英語表記:through-the-reticle alignment

TTV

英語表記:total thickness variation

Ti制御 Tiモニタ ジャンクション温度制御

英語表記:Ti control Ti monitor junction temperature controll

ULPAフィルタ

英語表記:ultra low penetration air filter ULPA filter

UNIBOND

英語表記:UNIBOND

UP TIME

英語表記:up time

UPH

英語表記:unit per hour

UVべーク

英語表記:UV bake

UVキュア

英語表記:UV cure

UVドライ酸化

英語表記:UV dry oxidation

UV乾燥機

英語表記:UV oven

UV照射装置

英語表記:UV irradiatiion equipment

UV洗浄

英語表記:ultra-violet light cleaning

VSWR測定

英語表記:VSWR measurement

Voronkov

英語表記:Voronkov

Vth

英語表記:threshold voltage

Vt差リファレンス

英語表記:reference voltage source based on Vt difference

V/Iソース

英語表記:V/I source

Vシリーズモデル

英語表記:Vseries MODEM

WAN

英語表記:wide area network

WIP

英語表記:work in process

WIPトラッキング

英語表記:WIP tracking

WSA

英語表記:wafer surface analysis

Wrightエッチング

英語表記:Wright etching

X-Yステージ X-Yテーブル

英語表記:X-Y stage X-Y table

X-Y軸位置決め精度

英語表記:X-Y axis positioning accuracy

XYコーディネータ

英語表記:XY coordinator

XYステージ

英語表記:XY stage

X線マスク

英語表記:X-ray mask

X線リソグラフィ

英語表記:X-ray lithography

X線源

英語表記:X—ray source

X線光電子分光法 XPS

英語表記:X-ray photoelectron spectroscopy

X線照射ダメージ

英語表記:X-ray irradiation damage

X線露光装置

英語表記:X-ray aligner

YAGレーザ

英語表記:YAG laser

Z-θステージ

英語表記:Z-θstage

Zステージ

英語表記:Z stage

Z軸コントロール精度

英語表記:Z axis positioning accuracy

inーsitu

英語表記:in-situ

n型半導体

英語表記:n-type semiconductor

pH測定

英語表記:pH measurement

pH調整剤注入装置

英語表記:pH adjustment equipment

pH調整装置

英語表記:pH control equipment

p型半導体

英語表記:p-type semiconductor

swp (表面波プラズマ)

英語表記:Surface Wave Plasma

tr ts

英語表記:rise time fall time

2極スパッタリング装置

英語表記:diode sputtering system

X線トポグラフ法 XRT

英語表記:X-ray topography

X線回析法

英語表記:X-ray diffractometry

X線検査装置

英語表記:X-ray inspection equipment

i型半導体 真性半導体

英語表記:i-type semiconductor

うねり

英語表記:waviness

じょ限量

英語表記:allowable concentration

すべり系、すべり面

英語表記:slip system、slip planc

そり

英語表記:warp

そり

英語表記:sori, bow, warp

そり

英語表記:sori

そり制御装置

英語表記:sori control system

たれ

英語表記:wire sagging

といし軸

英語表記:wheel spindle

と粒

英語表記:abrasive grains

と粒率

英語表記:grain volume percentage

ならし成形

英語表記:mold conditioning process

ぬれ性

英語表記:wettability

はがれ 圧着はがれ

英語表記:peel off bond lift off non stick

はんだディップ装置 

英語表記:solder dipping equipment

はんだボール搭載装置

英語表記:solder ball mounter

はんだメッキ装置

英語表記:solder plating

はんだ浸し試験装置

英語表記:solder dip test system

ふちだれ現象

英語表記:dull edge

ふっ酸モニタ

英語表記:hydrogen fluoride monitor

ふっ酸過酸化水素洗浄液

英語表記:hydrofluoric acid hydrogen peroxide mixture cleaning solution

ふっ酸回収装置

英語表記:hydrofluoric acid regenerator

ふっ酸添加純水

英語表記:HF added pure water

ふっ素イオン計

英語表記:fluoride ion monitor

ふっ素回収装置

英語表記:fluoride reclamation equipment

ふっ素廃水処理装置

英語表記:fluorine waste water treatment equipment

へキサメチルジシラザン

英語表記:Hexamethyldisilazane :HMDS

へテロダイン検出

英語表記:heterodyne alignment

べーキング

英語表記:baking

べース樹脂

英語表記:base resin

べクタ走査

英語表記:vector scan

めん棒

英語表記:swabs

るつぼ

英語表記:crucible

るつぼ移動ストローク

英語表記:crucible lift travel

るつぼ移動速度

英語表記:crucible lift rate

るつぼ回転速度

英語表記:crucible rotation rate

るつぼ駆動機構

英語表記:crucible lift mechanism

るつぼ軸

英語表記:crucible shaft

ろ材

英語表記:filter media

ろ材

英語表記:filter media

ろ材誘電型エアフィルタ

英語表記:charged-media electric air filter

アークチャンバ

英語表記:arc chamber

アークランプ アニール装置

英語表記:arc lamp annealer

アース棒

英語表記:earth bar grounding bar

アイススクラブ洗浄

英語表記:ice scrubber cleaning

アイダイアグラムマスクテスト

英語表記:eye diagram mask test

アイランド ダイバッド

英語表記:die pad

アイランドダウン ディプレス

英語表記:depressed die pad

アイリッド

英語表記:eyelid

アインシュタインの関係式

英語表記:Einstein's equation

アウタリード

英語表記:outer lead

アウタリードボンディング アウタリードボンダ

英語表記:outer lead bonding outer lead bonder

アウトガス

英語表記:outgassing

アクティブダンパ

英語表記:active amper

アスペクト比

英語表記:Aspect Ratio

アスペクト比

英語表記:aspect ratio

アスペクト比

英語表記:aspect ratio

アズカットウェーハスライドウェーハ

英語表記:as-cut wafer sliced wafer

アッシング

英語表記:ashing

アッシング(灰化)

英語表記:Ashing

アッシング機構

英語表記:ashing mechanism

アッシング装置

英語表記:ashing system

アトミック・レイヤー・エッチング

英語表記:Atomic Layer Etching

アドヒージョンユニット

英語表記:adhesion unit

アドレスサイズ

英語表記:address unit

アドレススクランブル機能

英語表記:address scramble function

アドレスマルチ機能

英語表記:address multiplex function

アナログ

英語表記:Analog

アナログ・テジタル混載

英語表記:LSI(analog・digital mixed LSI)

アニーリング(熱処理)

英語表記:annealing

アニール

英語表記:anneal

アニール

英語表記:anneal

アニール(熱処理)

英語表記:Annealing

アニール温度

英語表記:annealing temperature

アニール均一性

英語表記:annealing uniformity

アニール室

英語表記:annealing chamber

アニオン交換樹脂

英語表記:anion exchange resin

アニオン交換樹脂塔

英語表記:anion exchanger

アパーチャ

英語表記:aperture

アフターコロージョン

英語表記:アフターコロージョン

アフタキュア ポストキュア

英語表記:after cure post cure

アフタコロージョン

英語表記:after-corrosion

アモーファス

英語表記:Amorphous

アモルファス

英語表記:amorphous

アモルファスフロロカーボン

英語表記:a-C:F:amorphous fluorocarbon

アライメント

英語表記:alignment

アライメントオフセット

英語表記:alignment offset

アライメントスコープ

英語表記:alignment scope

アライメントステージ

英語表記:alignment stage

アライメントマーク 合せマーク

英語表記:alignment mark

アライメント精度

英語表記:alignment accuracy

アライメント精度

英語表記:alignment accuracy

アライメント精度 位置合せ精度

英語表記:alignment accuracy

アルゴリズミック パターン発生器 ALPG

英語表記:algorithmic pattern  genetator

アルミ

英語表記:alminium

アルミナ砥粒

英語表記:alumina abrasive

アルミニウム配線

英語表記:aluminum conductor

アレニウスグラフ アレニウスプロット

英語表記:Arrhenius graph Arrhenius plot dependence of life time on temperature plot

アロイスパイク

英語表記:alloy spike

アンスタッカ

英語表記:unstacker

アンダーフィル

英語表記:under fill

アンダエッチング

英語表記:under etching

アンダエッチング

英語表記:under-etching

アンダカット サイドエッチング

英語表記:undercut side etching

アンチモン

英語表記:antimony

アンテナ比

英語表記:antenna retio

アンローダ

英語表記:unloader

イオナイザ

英語表記:ionizer

イオンアシスト反応

英語表記:lon¯assisted reactions

イオンインプランテーション

英語表記:ion implantation

イオンエネルギー分析

英語表記:ion energy analysis

イオンクロマトグラフ

英語表記:ion chromato graph

イオンビーム

英語表記:ion beam

イオンビーム  スパッタリング装置

英語表記:ion beam sputtering system

イオンビームエッチング装置

英語表記:ion beam etching equipment

イオンビームエッチング装置 イオンミリング装置

英語表記:ion beam etching system ion milling system

イオンビームリソグラフィ

英語表記:ion beam lithography

イオンプレーティング装置

英語表記:ion plating system

イオンマイクロプローブ分析法 IMMA

英語表記:ion mocro probe mass analysis method

イオンミリング

英語表記:ion milling

イオン温度

英語表記:Ionic temperature

イオン化

英語表記:ionization

イオン化断面積

英語表記:Ionization cross section

イオン源

英語表記:ion source

イオン源

英語表記:ion source

イオン交換樹脂

英語表記:ion exchange resin

イオン交換樹脂交換容量 イオン交換容量

英語表記:ion exchange capacity

イオン交換装置

英語表記:ion exchange equipment

イオン交換膜

英語表記:ion exchange membrance

イオン散乱過程

英語表記:ion scattering

イオン照射

英語表記:ionic bombardment

イオン注入

英語表記:Ion Implantation

イオン注入

英語表記:ion implantation

イオン注入 イオン打込み

英語表記:ion implantation

イオン注入ダメージ

英語表記:Implant Damage

イオン注入プロセス

英語表記:ion implantation process

イオン注入応用技術

英語表記:ion beam application

イオン注入過程

英語表記:ion implantation process

イオン注入装置

英語表記:Ion Implantation System 

イオン注入装置

英語表記:ion implanter

イオン注入誘起欠陥

英語表記:ion-induced lattice defect

イオン電流密度

英語表記:ion current density

イオン分解能

英語表記:mass resolution

イナージェンガス消火設備

英語表記:inergen gas extinguishing system

イマージョンレンズ

英語表記:Immersion lens

イメージセンサテストシステム

英語表記:image sensor test system

イメージプロセッサユニット IPU

英語表記:image processor unit

イメージリバーサルプロセス

英語表記:Image reversal process

イルミネータ

英語表記:illuminator

インカ マーカ

英語表記:inker marker

インクジェット式マーキング装置

英語表記:inkjet printer

インゴット

英語表記:ingot

インゴット回転式切断機

英語表記:rotating ingot slicing machine

インゴット端面形状測定装置

英語表記:ingot end face bow measuring system

インサートリング フロッグリング インターフェースリング ハウジング ポゴタワー

英語表記:insert ring frog ring interface ring housing pogo tower

インジェクタノズル

英語表記:injector nozzle

インターナルギア 内歯歯車

英語表記:internal gear

インタフェースタイミング

英語表記:interface timing

インタフェースユニット

英語表記:interface unit

インデクサ

英語表記:indexer

インデクサ フィーダ

英語表記:indexer

インデックスタイム

英語表記:index time

インデックス送り

英語表記:indexing

インデックス量

英語表記:index amount

イントリンシック

英語表記:intrinsic gettering

イントリンシックゲッタリング

英語表記:Intrinsic Gettering, Internal Gettering: IG

インナリード

英語表記:inner lead

インナリードボンディング インナリードボンダ

英語表記:inner lead bonding inner lead bonder

インバータ(Inverter)回路

英語表記:

インフィード研削

英語表記:infeed grinding

インプリント特性

英語表記:imprint characteristics

インプロセスゲージ IPG

英語表記:in-process gauge

インライン

英語表記:in line

インラインガスフィルタ

英語表記:in-line gas filter

インラインシステム

英語表記:inline system

インラインパーティクノレモニタ

英語表記:inline depth detecting monitor

インラインヒータ

英語表記:inline heater

インライン深さ検出モニタ

英語表記:inline depth detecting monitor

ウィッキング

英語表記:wicking

ウインドウコンパレータ

英語表記:window comparator

ウェーハ

英語表記:wafer

ウェーハ

英語表記:wafer

ウェーハ シッピングボックス(出荷容器)

英語表記:wafer shipping box

ウェーハID

英語表記:wafer ID

ウェーハ 自動移動装置

英語表記:wafer automatic transfer system

ウェーハ・ローディング・アンローディング

英語表記:wafer loading/ unloading

ウェーハアライメント 

英語表記:wafer alignment

ウェーハエンド

英語表記:wafer end

ウェーハカセット

英語表記:wafer cassette

ウェーハクーリング ステージ

英語表記:wafer cooling stage

ウェーハスケーリング

英語表記:wafer scaling

ウェーハステージ

英語表記:wafer stage

ウェーハチェンジャ

英語表記:wafer changer

ウェーハチャック

英語表記:wafer chuck

ウェーハツイスト

英語表記:wafer twist

ウェーハテーブル 吸着ステージチャック

英語表記:wafer table chuck

ウェーハテープ

英語表記:wafer tape

ウェーハテープホットブロー

英語表記:wafer tape hot blow

ウェーハティルト

英語表記:wafer tilt

ウェーハティルト ウェーハレベリング

英語表記:wafer tilting wafer leveling

ウェーハテスト ウェーハソート プローブテスト EDSテスト

英語表記:wafer test wafer sort probe test electrical die sot test

ウェーハディスク

英語表記:wafer disk

ウェーハディストーション

英語表記:wafer distortion

ウェーハフレーム ウェーハリング

英語表記:wafer frame

ウェーハフレームカセット

英語表記:wafer frame cassette

ウェーハプローバ プローバ

英語表記:wafer prober

ウェーハホイスト

英語表記:wafer hoist

ウェーハマウンタ

英語表記:wafer mounter

ウェーハマップ

英語表記:wafer map

ウェーハレベルCSP

英語表記:wafer level CSP

ウェーハレベルバーンイン装置 WLBI装置 ウェーハバーンイン装置

英語表記:wafer level burn-in system wafer burn-in system

ウェーハレベルパッケージング

英語表記:wafer level packaging

ウェーハローテーション

英語表記:wafer rotation error

ウェーハ異物検査装置

英語表記:wafer inspection equipment

ウェーハ間均一性

英語表記:wafer to wafer uniformity

ウェーハ間注入均一性

英語表記:wafer-to-wafer uniformity

ウェーハ形状認識

英語表記:wafer profile recognition

ウェーハ内注入均一性

英語表記:dose uniformity

ウェーハ表面検査

英語表記:wafer surface inspection

ウェーブガイド 分析官

英語表記:wave guide analyzing chamber

ウェーブフォームアナライザ WFA ウェーブトレーサ

英語表記:wave form analyzer wave tracer

ウェッジボンディング ウェッジボンダ

英語表記:wedge bonding wedge bonder

ウェットエッチング

英語表記:wetetching

ウェットエッチング機構

英語表記:wet etching mechanism

ウェットエッチング装置

英語表記:wet etching equipment

ウェットエッチング装置

英語表記:wet etching system

ウェット型

英語表記:wet type

ウェット式レジスト剥離装置

英語表記:wet type resist stripping system

ウェハ加工

英語表記:wafer marking

ウェハ固定

英語表記:wafer chucking

ウェハ冷却機構

英語表記:wafer cooling structure

ウェル

英語表記:well

ウェル

英語表記:Well

ウェル形成

英語表記:well fabrication

ウエートアジャスタ

英語表記:wait adjuster

ウエーハピッチ

英語表記:wafer pitch

ウエーハホルダ

英語表記:wafer holder

ウエーハ加熱機構

英語表記:wafer heating mechanisum

ウエーブスキャン

英語表記:wave scan

ウエッジツール

英語表記:wedged tool

ウエットエッチング

英語表記:Wet Etching

ウエハプロセス総論

英語表記:wafer process overview

ウォーターバック方式

英語表記:water-back system

ウォーターマーク

英語表記:water mark

ウォーターマーク

英語表記:water mark

ウォータポリシング 水研磨

英語表記:water polishing

ウォールアングル ショルダアングル テーパ角

英語表記:wall angle shoulder angle taper angle

エアーバック方式

英語表記:air-back system

エアアイソレーション

英語表記:air isolation

エアカーテン

英語表記:air curtain

エアシャワ

英語表記:air shower

エアタオル

英語表記:air towel

エアテンション

英語表記:air tension

エアフロー

英語表記:air flow

エアベント

英語表記:air vent

エアロック

英語表記:air lock

エアワッシャ

英語表記:air washer

エア加圧方式

英語表記:air pressure type

エキシマレーザ

英語表記:excrmer laser

エキシマレーザ露光

英語表記:excimer laser exposure

エキストリンシックゲッタリング

英語表記:Extrinsic Gettering : IG

エキスバンドステージ

英語表記:expand stage

エキスバンド装置 エキスパンド率

英語表記:expansion ratio

エクステンション

英語表記:Extension

エクストリンシックゲッタリング

英語表記:Extrinsic Gettering, External Gettering: IG

エクストリンシックゲッタリング

英語表記:extrinsic gettering

エジェクタ

英語表記:ejector

エックス線光電子分光法

英語表記:X-ray Photoelectron Spectroscopy

エッジ エクスクルージョン

英語表記:edge exclusion

エッジイクスクルージョン

英語表記:edge exclusion

エッジセンサ

英語表記:edge sensor

エッジリンス エッジクリーン

英語表記: edge bead remover E.B.R.

エッチストップ

英語表記:etch stop

エッチストップ

英語表記:ecth stop

エッチストップ

英語表記:etch stop

エッチドウェーハ エッチングウェーハ

英語表記:etched wafer etching wafer

エッチバック

英語表記:Etch Back

エッチバック

英語表記:etch back

エッチバック

英語表記:etchi bakku

エッチング

英語表記:Etching

エッチング

英語表記:etching

エッチング

英語表記:etching

エッチングプロセス

英語表記:etching process

エッチング開口率

英語表記:exposed area ratio

エッチング均一性

英語表記:etching uniformity

エッチング均一性

英語表記:etch uniformity

エッチング残渣

英語表記:etch residue

エッチング室

英語表記:etching chamber

エッチング終点検出

英語表記:etching end point detection

エッチング終点検出機構

英語表記:etching end-point detection

エッチング選択比 エッチング選択制

英語表記:etch selectivity

エッチング装置

英語表記:etching system

エッチング装置

英語表記:etching equipment

エッチング速度

英語表記:etch rate

エッチング特性

英語表記:etching characteristics

エッチング反応生成物

英語表記:creative product by etching reaction

エネルギー コンタミネーション

英語表記:energy contamination

エピタキシ

英語表記:Epitaxy

エピタキシャルウエーハ

英語表記:epitaxial wafer

エピタキシャル成長

英語表記:epitaxial growth

エピタキシャル成長機構

英語表記:epitaxial growth mechanism

エピタキシャル成長装置

英語表記:epitaxial growth systems

エピタキシャル成長装置

英語表記:epitaxial growth system

エピタキシャル層欠陥

英語表記:epitaxial defect

エピタキシャル膜厚測定方法

英語表記:measurement method of epitaxial layer thickness

エミッタ・ベース・コレクタ

英語表記:

エリプソメトリ膜厚測定

英語表記:elipsometry thickness measurement

エレクトレットエアフィルタ

英語表記: electric air filter

エレクトロマイグレーション

英語表記:electromigration

エレクトロマイグレーション(EM)

英語表記:Electro-Migration

エレクトロンサプレッサ バイアス

英語表記:electron suppressor bias

エレクトロンフラッドガン

英語表記:electron flood gun

エレベーテッド・ソース・ドレイン

英語表記:Elevated Souece Drain

エロージョン

英語表記:erosion

エロージョン

英語表記:erosion

エンドステーション

英語表記:end-station

エンドレス バンドソー

英語表記:endless band saw

オージェ電子出現電位分光法

英語表記:auger electron appearance spectroscopy

オージェ電子分光法

英語表記:Auger Electron Spectroscopy

オージェ電子分光法 AES

英語表記:auger electron spectroscopy

オーディオ信号測定機能

英語表記:audio signal measurement

オートカッタセット オートセットアップ

英語表記:automatically cutter set automatically set-up

オートキャリブレーション機能

英語表記:automatic calibration function

オートダンパ カップ内排気

英語表記:automatic damper sequential damper exhaust for coater exhaust for developer

オートドーピング

英語表記:autodoping

オートドーピング

英語表記:autodoping

オーバ ポリッシュ

英語表記:over polish

オーバーポリッシング

英語表記:over polishing

オーバエッチング

英語表記:over-etching

オーバトラベル オーバドライブ くい込み量

英語表記:over travel over drive

オーバハング

英語表記: overhang

オーバフローリンス

英語表記:over flow rinse

オーバヘッド時間

英語表記:overhead time

オーバライド

英語表記:over ride

オーブン温度分布 オーブン温度均一性

英語表記:baking temperature uniformity

オープンカセット O.C.

英語表記:open cassette

オープンショートチェッカ

英語表記:open short checker

オープンマニュファクチャリング

英語表記:open manufacturing

オーミックコンタクト

英語表記:Ohmic contact

オールメタル製ガス供給システム

英語表記:all metal gas supplying system

オゾンアッシング装置

英語表記:ozone asher

オゾンモニタ

英語表記:ozone monitor

オゾン酸化

英語表記:ozone oxidation

オゾン水

英語表記:ozonized water

オゾン水

英語表記:ozone water

オゾン層破壊

英語表記:ozone depletion

オゾン添加超純水

英語表記:ozonized ultrapure water

オファクシスアライメント

英語表記:off axis alignment

オフアクシスアライメント

英語表記:off-axis alignment

オフセット

英語表記:offset

オフセット

英語表記:offset

オフセットコントロール

英語表記:offset control

オフセット印刷機

英語表記:offset printing press

オフセンタ

英語表記:off center

オフライン処理

英語表記:off line process

オペレーションボックス

英語表記:operation box

オリエンタ

英語表記:orienter

オリエンテーションフラット オリフラ

英語表記:orientation flat

オリフラ合わせ機構

英語表記:orientation flat aligner

オリフラ整合装置

英語表記:orientation flat arrange equipment

オンアクシスアライメント

英語表記:on-axis alignment

オンザフライ リンクブロー

英語表記:on-the-fly link-blow

オンザフライスプリット RTTC RTWC

英語表記:on-the-fly split real time timing control real time wave control

オンライン処理

英語表記:on line process

カードル

英語表記:bundled

カーフロス

英語表記:kerf loss

カーリング

英語表記:curling

カスケードドライバ

英語表記:cascaded driver

カスコード型差動増幅器

英語表記:Cascoded differential amplifier

カセットID

英語表記:cassette ID

カセット ツー カセット

英語表記:cassette-to-cassette handling

カセット マガジン

英語表記:cassette magazine

カセットトランスファ

英語表記:cassette transfer

カセットレス洗浄装置

英語表記:cassetteless wet cleaning equipment

カセット洗浄装置

英語表記:cassette cleaning equipment

カソード ターゲット電極

英語表記:cathode target electrode

カチオン交換樹脂

英語表記:cation exchange resin

カチオン交換樹脂塔

英語表記:cation exchanger

カットオフ

英語表記:cut off

カットラインチェック カーフチェック

英語表記:kerf inspection

カップリンス

英語表記:bowl rinse

カップ温湿度調整

英語表記:cup temperatureーhumidity controller

カップ温度湿度調整

英語表記:bowl temperature and humidity control

カテゴリ ビン

英語表記:category bin

カメラツールオフセット

英語表記:camera-tool offset

カラーフィルタ試験

英語表記:coler filter test

カラムアライメント

英語表記:column alignment

カラムコントロール

英語表記:column control

カル

英語表記:cull

カルーセル

英語表記:carousel

カレントミラー回路

英語表記:

カンチレバー

英語表記:cantilever

ガード

英語表記:guard

ガイドローラ ガイドプーリー

英語表記:guide roller guide pulley

ガウシアンビーム

英語表記:Gaussian beam,叩ot beam

ガス・粒子変換

英語表記:gas to particle conversion

ガスクロマトグラフ

英語表記:gas chromatograph

ガスクロマトグラフィ質量分析計 GCーMS

英語表記:gas chromatography mass spectrometer

ガスソースMBE

英語表記:gas source MBE

ガスソース分子線エピタキシャル成長装置 MOMBE装置 CBE装置

英語表記:gas source molecular beam epitaxial growth system metal organic molecular beam epitaxial growth system chemical beam epitaxial system

ガスボックス

英語表記:gas box

ガス希釈器

英語表記:gas dilution system

ガス供給センタ

英語表記:total gas supply system

ガス精製装置

英語表記:gas purifier

ガス導入系

英語表記:gas feed system

ガス濃度検出器

英語表記:gas concentration sensor

ガス配管接合技術

英語表記:gas pipeline installation technology

ガス溶存水洗浄

英語表記:gas disolved water cleaning

ガス漏れ警報器

英語表記:gas leakage detector alarm

ガラス転移点

英語表記:glass transition temperature

ガラス封止装置

英語表記:glass frit sealing equipment

キーホール

英語表記:key hole

キナルジン酸

英語表記:quinaldic acid

キネマチックカップリング

英語表記:kinematic coupling

キャドナビゲーション

英語表記:CAD navigation

キャビテーション洗浄

英語表記:cavitation jet cleaning

キャビティ

英語表記:cavity

キャピティ

英語表記:cavity

キャピラリ

英語表記:capillary

キャリーオーバ

英語表記:carry over

キャリア

英語表記:carrier

キャリア

英語表記:wafer carrier

キャリアの凍結

英語表記:carrier freeze out

キャリアガス

英語表記:carner gas

キャリブレーションボード

英語表記:calibration board

キャリヤ

英語表記:carrier

キャリヤガス

英語表記:carrier gas

キャリヤガス

英語表記:carrier gas

キャリヤサイズ

英語表記:carrier size

キャリヤテープ ボート

英語表記:carrier tape boat

キャリヤボックス

英語表記:carrier box

キャリヤラック

英語表記:carrier rack

キュアタイム

英語表記:curing time

キュア装置

英語表記:curing oven

キレート剤

英語表記:chelate agent

キレート剤添加洗浄薬品

英語表記:chelating agent added cleaning chemical

キレート樹脂吸着塔

英語表記:chelate resin column

ギャップフィル

英語表記:gap fill

クーリングステージ

英語表記:cooling stage

クーリングプレート

英語表記:chill plate

クーロン効果

英語表記:Coulomb interaction

クーロン散乱

英語表記:Coulomb scattering

クイックコネクタ

英語表記:quick connector quick disconnecting coupling

クイックダンプリンス

英語表記:quick dump rinse

クエン酸洗浄

英語表記:citric acid cleaning

クヌーセン セル

英語表記:Knudsen cell

クヌッセンセル

英語表記:knudsen cell

クラスタ

英語表記:cluster

クラスタ

英語表記:cluster

クラスタ・イオンビーム蒸着装置

英語表記:ionized cluster beam evaporation system

クラスタツール

英語表記:cluster tool

クラック

英語表記:crack

クラッシュフォーミング

英語表記:crash forming

クラブ形状

英語表記:club shape

クリードラフトチャンバ

英語表記:clean draft chamber

クリープフィード研削

英語表記:creepfeed grinding

クリーンエレベータ

英語表記:clean elevator

クリーンチューブシステム CTS

英語表記:clean tube system CTS

クリーントイレ

英語表記:clean toilet

クリーントンネル

英語表記:clean tunnel

クリーンドライエア

英語表記:clean dried air

クリーンブース

英語表記:clean booth

クリーンベンチ 清浄作業台

英語表記:clean bench clean work station

クリーンペーパー

英語表記:clean paper

クリーンルーム

英語表記:clean room

クリーンロッカー

英語表記:clean locker

クリーンロボット

英語表記:clean robot

クリーン手洗器

英語表記:clean hand washer

クレータリング

英語表記:cratering

クレイドル

英語表記:cradle

クローズド システム

英語表記:closed system

クローズド マニュファクチャリング

英語表記:closed manufacturing

クロスオーバ

英語表記:cross over

クロスコンタミネーション

英語表記:cross contamination

クロスコンタミネーション

英語表記:cross contamination

クロストーク

英語表記:crosstalk

クロッキング方式

英語表記:

クロマ信号測定機能

英語表記:chroma signal measurement

グラインディングホイール

英語表記:grinding wheel

グラファイトヒータ アニール装置

英語表記:graphite heater annealer

グリシン

英語表記:glycine

グリッチ

英語表記:glitch

グリッチ

英語表記:glitch

グリッパフィーダ

英語表記:index gripper

グループローラ メインローラ 溝車

英語表記:grooved roller main roller

グレージング

英語表記:grazing

グレーティングパネル

英語表記:grated panel

グローバル

英語表記:global

グローバルアライメント

英語表記:global alignment

グローバルティルト グローバルレベリング

英語表記:global tilting global leveling

グローバルプラナリゼーション

英語表記:global planarization

グローバル配線

英語表記:global wiring

ケーキホッパ

英語表記:cake hopper

ケミカルエアフィルタ ガス除去用エアフィルタ

英語表記:chemical air filter

ケミカルドライエッチング (CDE)装置

英語表記:Chemical Dry Etching equipment : CDE equipment

ケミカル汚染

英語表記:chemical contamination

ケルビン接続

英語表記:kelvin contact

ゲート

英語表記:gate

ゲート・スタック

英語表記:Gate Stack

ゲートインサートピース

英語表記:gate insert piece

ゲートカット

英語表記:gate cutting

ゲートバルブ

英語表記:gate valve

ゲートバルブ

英語表記:gate valve

ゲート残り

英語表記:remaining gate

ゲート接地増幅回路

英語表記:Grounded gateamp lifiercir

ゲート絶縁膜

英語表記:gate dielectrics

ゲッタリング

英語表記:Gettering

ゲッタリング

英語表記:gettering

ゲッタリング

英語表記:gettering

ゲッタリング

英語表記:gettering

ゲッタリング

英語表記:gettering

ゲル型イオン交換樹脂

英語表記:gel type ion exchange resin

コ・ポリマー

英語表記:co-polymer

コージェネレーション

英語表記:cogeneration

コールドウォール

英語表記:cold wall

コールドエバポレータ

英語表記:cold evaporator

コアテスト

英語表記:

コッククロフト

英語表記:cockcroft

コヒーレンス度

英語表記:coherence factor

コリメータ

英語表記:collimator

コリメート スパッタリング

英語表記:collimate sputtering

コリメートスパッタ

英語表記:collimated sputtering

コレットヒータ

英語表記:collet heater

コロージョン

英語表記:corrosion

コロイダルシリカ

英語表記:colloidal silica

コンスタントヒートツール

英語表記:constant temperature constant heat tool

コンタクト/ビア

英語表記:contact/via hole

コンタクト/ビア形成

英語表記:contact/via formation

コンタクトチェック

英語表記:contact check

コンタクトプロキシミティ露光装置

英語表記:contact proximity

コンタクトホール

英語表記:contact hole

コンタクトボード

英語表記:contact board

コンタクト高抵抗化要因

英語表記:high contact resistance Issues

コンタクト抵抗の低抵抗化

英語表記:reduction Of contact res1Stance

コンタミネーション

英語表記:contamination

コンタミ量

英語表記:contamination level

コンディショニング ドレッシング

英語表記:conditioning dressing

コントラスト

英語表記:contrast

コンバージョンキット

英語表記:conversion kit

コンパレータ

英語表記:comparator

コンベヤ

英語表記:conveyer

ゴースト法

英語表記:GOHST method

サーチスピード

英語表記:search speed

サーチレベル

英語表記:search level

サービスエリア

英語表記:service area

サーマルチャンバ

英語表記:thermal chamber

サイクルタイム

英語表記:cycle time

サイクル処理時間

英語表記:cycle time

サイト

英語表記:saite

サイトFPD SBID SFLD SF3D SFQD

英語表記:site focal plane deviation site back ideal deviation site front least-squares global deviation site front three points deviation site front least-squares site deviation

サイトTIR SBIR SFLR SF3R SFQR

英語表記:site total indicator reading site back ideal range (local thickness variation) site front least-squares global range site front three points range site front least-squares range

サイトアレイ

英語表記:site array

サイトサイズ

英語表記:site size

サイドロブ

英語表記:side lobe

サイリスタ

英語表記:

サセプタ

英語表記:susceptor

サセプタ

英語表記:susceptor

サックバック

英語表記:suck back

サックバック

英語表記:suck back

サブサイト

英語表記:subsite

サブシステム ポリッシングシステム

英語表記:subsystem

サブストレート

英語表記:substrate

サブマスタ

英語表記:sub-master

サマリデータ

英語表記:summary data

サリサイド

英語表記:salicide : self-aligned silicide

サンプリング デジタイザ

英語表記:sampling digitizer

サンプルアンドディファレンス

英語表記:sample and  difference

シーケンシャルパターン発生器 SQPG

英語表記:sequential pattern generator

シース

英語表記:sheath

シーズニング

英語表記:seasoning

シーズニング

英語表記:seasoning

シーソー

英語表記:see saw

シート抵抗測定

英語表記:sheet resistance measurement

シードチャック

英語表記:seed chuck

シード移動ストローク

英語表記:seed lift travel

シード移動速度

英語表記:seed lift rate

シード回転速度 結晶回転速度 S/R

英語表記:seed rotation rate crystal rotation

シード軸

英語表記:seed shaft

シード層

英語表記:seed layer

シーム

英語表記:seam

シーム溶接装置

英語表記:parallel seam resistance welding equipment

シールドルーム

英語表記:shielding room

シェープ

英語表記:shape

シクロプタン誘導体

英語表記:cyclobutane derivative

システムLSI

英語表記:system LSI

システムLSI 

英語表記:

システムLSIテストシステム SoCテストシステム ミックスドシグナルテストシステム

英語表記:system LSI test system system on chip test system mixed signal test system

システム端末

英語表記:terminal

シフトレジスタ

英語表記:

シャロー ジャンクション

英語表記:shallow junction

シャワー板 カソード

英語表記:shower plate cathode

シュムープロット

英語表記:shmoo plot

ショット

英語表記:shot

ショットキ バリア ダイオード

英語表記:

ショットキバリア

英語表記:Schottky barrier

ショットキ効果

英語表記:Schottky effect

ショットキ障壁

英語表記:Schottky barrier

ショットスケーリング ショット倍率

英語表記:shot scaling

ショットローテーション

英語表記:shot rotation

ショット雑音

英語表記:Shot noise

ショット数

英語表記:number Of shots

ショルダ

英語表記:shoulder

シリカ

英語表記:silica

シリカ計

英語表記:silica analyzer

シリコン

英語表記:Silicon

シリコンサイクル

英語表記:

シリコントレンチ

英語表記:silicon trench

シリコンバレー

英語表記:Silicon Valley

シリコン酸化膜

英語表記:silicon dioxide

シリコン単結晶の物性

英語表記:physical properties of single crystal

シリコン単結晶成長

英語表記:silicon single crystal growth

シリコン融液対流

英語表記:silicon liquid phase convection

シリサイド

英語表記:silicide

シリサイド化

英語表記:silicidation

シリル化プロセス

英語表記:silyl process

シリル化処理装置

英語表記:silylation system

シリンダキャビネット

英語表記:cylinder cabinet

シリンダボンベ 内部研磨クリーンボンベ

英語表記:cylinder ultra clean cylinder

シリンダ型装置

英語表記:

シロキサン

英語表記:siloxane

シロキサン結合

英語表記:siloxane bonding

シンギュレーションセパレート

英語表記:singulation separate

シングルカセットロータ

英語表記:single cassette rotor

シンタ

英語表記:sinter

シンニング

英語表記:thinning

シンニング

英語表記:thinning

ジーメンス法

英語表記:siemens method

ジアゾナフトキノン感光剤

英語表記:diazonapthoquinone Photo active compound

ジッタ測定器 時間解析器 タイムメジャーメントデジタイザ

英語表記:time analizer

ジャストエッチング

英語表記:just etching

ジャミング

英語表記:jamming

ジャム率

英語表記:jamming rate

ジャンクションスパイク

英語表記:junction spike

ジョブショップ

英語表記:job shop

ジルコニアスラリー

英語表記:zirconium slurry

スーパーボルテージ機能

英語表記:super voltage function

スーパバッファメモリ SBM HBM

英語表記:super buffer memory

スイープ測定サーチ

英語表記:sweep mesurement

スカベンジャ

英語表記:scavenger

スカム

英語表記:scum

スキップ測定

英語表記:skip measurement

スキャナ

英語表記:scanner

スキャナ

英語表記:Scanner

スキャナーサイトフラットネス SFFD SFSR

英語表記:scanner site flatnesss site front least-squares subsite deviation site front least-squares subsite range

スキャンテスト

英語表記:

スキャンディレクション

英語表記:scan direction

スキャンデザイン デバイス測定機能

英語表記:test function of scan designed devices

スキャンパステスト

英語表記:scan pass

スキャンビーム電流

英語表記:scanned beam current

スキュ-調整PLL

英語表記:Skew adjust PLL

スキュー

英語表記:skew

スクラッチ

英語表記:scratch

スクラッチ

英語表記:scratch

スクラッチ

英語表記:scrach

スクラバ

英語表記:scrubber

スクラブ

英語表記:scrubbing

スクラブ洗浄

英語表記:scrubbing

スクリーニング

英語表記:screening

スケール防止剤

英語表記:scale inhibitors

スタッカクレーンカセットリフタ

英語表記:stacker crane cassette lifter

スタックド スタックドCSP

英語表記:stcked stcked CSP

スタティック バーンイン装置

英語表記:static burn-in system

スタティックファンクションテスト

英語表記:static functional test

スタンドオフ

英語表記:stand-off

スタンバ ディプレスステージ

英語表記:stamper depressed stage

スタンピングヘッド

英語表記:stamping head

スチーム酸化

英語表記:steam oxidation

スチーム酸化

英語表記:steam oxidation

ステージ

英語表記:stage

ステージ移動

英語表記:stage moving

ステージ姿勢

英語表記:stage attitude

ステージ連続移動

英語表記:Stage continuous movmg

ステッチボンド

英語表記:stitch bonding

ステッパ

英語表記:stepper

ステップアンドスキャン

英語表記:step-and-scan

ステップアンドリピート

英語表記:step and repeat

ステップエッチング

英語表記:step etching

ステップカット

英語表記:step cutting

ステップカバレージ 回り込み率

英語表記:step coverrage

ステップカバレッジ

英語表記:Step coverage

ステップカバレッジ

英語表記:Step coverage

ステップカバレッジ

英語表記:Step coverage

ステップピッチ

英語表記:step pitch

ステップ式投影露光装置

英語表記:stepping projection aligner

ステンシルマスク

英語表記:stencil mask

ストッカ

英語表記:stocker

ストッパ

英語表記:stopper

ストッパー膜

英語表記:stopper film

ストリート

英語表記:street

ストリエーション

英語表記:striation

ストリエーション

英語表記:striation

ストレスマイグレーション

英語表記:stress-induced migration

ストレスマイグレーション(SM)

英語表記:Stress-Migration

ストレスマイグレーション試験

英語表記:stress migration

ストレッチ アンドスクイズ

英語表記:stretch and squees

ストローブ

英語表記:strobe

ストロープコンパレータ

英語表記:strobed comparator

ストロボ法

英語表記:stroboscopic imaging

スナップキュア

英語表記:snap cure

スパークギャップ

英語表記:spark gap

スパークギャップ

英語表記:spark gap

スパイラル型逆浸透膜モジュール

英語表記:spiral-wound type reverse osmosis membrane module

スパッタイオンポンプ

英語表記:sputter ion pump

スパッタエッチング

英語表記:スパッタエッチング

スパッタエッチング

英語表記:sputter etching

スパッタガン スパッタリング装置

英語表記:sputter-gun sputtering system

スパッタリング

英語表記:sputtering

スパッタリング装置 スパッタ装置

英語表記:sputtering system

スパッタ率

英語表記:sputtering yield

スパンカ

英語表記:spanker

スピンカップ

英語表記:spin cup

スピンカップ

英語表記:Spin cup

スピンコータ

英語表記:spin coater

スピンコート法

英語表記:spin coat method

スピンチャック

英語表記:spin chuck

スピンチャック

英語表記:spin chuck

スピンデベロッパ

英語表記:spin developer

スピンドライヤ

英語表記:spin dryer

スピンドル

英語表記:spindle

スピンモータ 立ち上がり特性

英語表記:acceleration

スピンモータ回転数

英語表記:rotation speed

スピン乾燥

英語表記:spin drying

スピン乾燥

英語表記:spin drying

スピン洗浄

英語表記:spin cleaning

スピン洗浄装置

英語表記:spin cleaner

スピン洗浄装置

英語表記:spin cleaner

スプリンクラー設備

英語表記:sprinkler system

スプレーデベロッパ

英語表記:spray developer

スプレー型(バッチ)

英語表記:spray type

スプレー現像

英語表記:spray developing

スプレー式エッチング装置

英語表記:spray etching system

スプレー塗布

英語表記:spray coating

スペアロー/カラム予備行/列リンボー

英語表記:spare row/columnlimbo

スマートカット

英語表記:smart cut

スミア試験

英語表記:smear test

スムージング

英語表記:smoothing

スライシング

英語表記:Slicing

スライシング

英語表記:slicing

スライスベース

英語表記:slice base

スライム防止剤

英語表記:slime inhibitors

スラリー

英語表記:slurry

スラリー

英語表記:slurry

スラリーセパレータ

英語表記:slurry separator

スラリー分析終点検出法

英語表記:end point detection by slurry constituent analysis

スリーウェイ方式

英語表記:three-way

スリップ

英語表記:slip

スリップ

英語表記:slip

スルーザウォール構造

英語表記:through the wall structure

スループット

英語表記:throughput

スルーホール

英語表記:through hole

スルーレート

英語表記:slew rate

スローベント ソフトベント

英語表記:slow vent soft vent

スロー排気 ソフト排気

英語表記:slow pumping slow roughing soft roughing

スワール

英語表記:swirl

スワール

英語表記:swirl

スワップ搬送

英語表記:swapped transportation

セグメント

英語表記:segment

セットアップ時間、ホール度時間

英語表記:

セミコンショー

英語表記:SEMICON SHOW

セミフルカット

英語表記:semi full cutting

セリアスラリー

英語表記:ceria slurry

セルフアライメント

英語表記:self alignment effect

セルフグラインド

英語表記:self grinding

セルフバイアス 陰極電圧降下 Vdc

英語表記:self bias

セルプロジェクション

英語表記:cell projection

センタブロック

英語表記:center block

センタリング

英語表記:centering

センダ

英語表記:sender

ゼータ電位

英語表記:zeta potential

ゼータ電位 界面同電位

英語表記:zeta potential electrokinetic potential

ゼーベック効果

英語表記:Seebeck effect

ゼロエミッション 産業廃棄物ゼロ

英語表記:zero emission

ソークタイム

英語表記:soak time

ソースキャビネット

英語表記:source cabinet

ソースマグネット

英語表記:ion source magnet

ソース接地増幅回路

英語表記:grounded source amplifier Circuit

ソータ

英語表記:sorter

ソープションポンプ

英語表記:sorption pump

ソーマーク

英語表記:saw mark

ソーマーク

英語表記:saw mark

ソケット式コンタクト

英語表記:socket type contact

ソフトランディング

英語表記:soft landing

ソルダマスク

英語表記:solder mask

ソルダレジスト

英語表記:solder resist

ソルダ封止装置

英語表記:solder sealing equipment

ゾーン数

英語表記:zone count

ターゲット

英語表記:target

ターゲット

英語表記:target

ターゲットスキャン

英語表記:target scan

ターゲット点 問題点

英語表記:tagget point recognition point

ターゲット利用効率

英語表記:efficiency of target utilization

ターボ分子ポンプ

英語表記:turbo molecular

ターンテーブル

英語表記:turn table

タイバー ダムバー

英語表記:tie bar dam bar

タイミングエッジ

英語表記:timing edge

タイミングジェネレータ

英語表記:timing generator

タイミングチャート

英語表記:

タイミング相数 クロック相数

英語表記:number of timing phase number of clock

タイムモジュレーションエッチング

英語表記:time modulation etching

タッチローラ

英語表記:touch roller

タブレット

英語表記:tablet

タブレットプリヒート装置

英語表記:tablet pre heater

タングステン

英語表記:tungusten

タンデム型装置

英語表記:tandem electrostatic accelerator

ダーク条件

英語表記:ダーク条件

ダイ

英語表記:die

ダイアライメント

英語表記:die alignment

ダイオード

英語表記:

ダイクリーナ

英語表記:mold cleaner

ダイシアテスタ

英語表記:die shear tester

ダイシア強度

英語表記:die shear strength

ダイシング

英語表記:dicing

ダイシングソー ダイサ

英語表記:dicing saw

ダイセット

英語表記:die set

ダイトレイ

英語表記:die tray

ダイナミック バーンイン装置

英語表記:dynamic burn-in system

ダイナミッククランプ 機能

英語表記:dynamic clamp

ダイナミックパターントレーサ パターントレーサ パターンシミュレータ

英語表記:dynamic pattern tracer pattern tracer pattern simulator

ダイナミックファンクションテスト

英語表記:dynamic functional test

ダイナミックロード プログラマブルロード アクティブロード

英語表記:dynamic load programmable load active load

ダイナミック電源電流測定

英語表記:dynamic power current measurement

ダイバーカット

英語表記:tiebar cutting

ダイバイダイアライメント

英語表記:die-by-die alignment

ダイバイダイティルト ダイバイダイレベリング

英語表記:die-by-die tiliting die-by-die leveling

ダイボンダ

英語表記:die bonding

ダイボンディング

英語表記:die bonding

ダイヤモンドCVD

英語表記:diamond CVD

ダイヤモンドホイール

英語表記:cup shaped daiamond grinding wheel

ダイヤモンドワイヤ

英語表記:daimonnd wire

ダイヤモンド外周刃といし

英語表記:diamond blade

ダイレクトインデックス機能 サンプリングプローブ機能

英語表記:direct index function sampling prove function

ダイレクトピックアップ ボンディング

英語表記:direct pick-up

ダイレクト印刷機

英語表記:printing press

ダウンセット アップセット

英語表記:down set up set

ダウンフロー型アッシング装置 ダウンストリーム型アッシング装置

英語表記:down flow asher down stream achere

ダッシュネック

英語表記:Dash's neck

ダブルスキャン デュアルスキャン

英語表記:dual scan

ダマシン

英語表記:damascene

ダマシン

英語表記:damascene

ダマシンプロセス

英語表記:damascene process

ダミーウェーハ

英語表記:dummy wafer

ダミーウェーハ

英語表記:dummy wafer

ダミーサイクル

英語表記:dummy cycle

ダミーパターン

英語表記:dummy pattern

ダムバー残り

英語表記:protrusion tiebar

ダムブロック

英語表記:dam block

ダメージ

英語表記:damage

ダメージ

英語表記:damage

ダンサローラ

英語表記:dancer roller

チェーン注入

英語表記:chained implants

チェイスブロック

英語表記:chase unit

チッピング

英語表記:chipping

チップ ダイ

英語表記:chip die

チップアライメント

英語表記:chip alignment

チップバーンイン

英語表記:chip burn-in

チップ突上げ

英語表記:plunge up

チャージアップ

英語表記:charge up

チャージアップダメージ

英語表記:Charge up Damage

チャージポンプ回路

英語表記:charge pump circuit

チャイルド・ラングミュアの式

英語表記:Child-Langmuir equation

チャックテーブル

英語表記:chuck table

チャック洗浄機構

英語表記:chuck cleaning mechanism

チャネリング

英語表記:channeling

チャネリング

英語表記:channeling

チャネリング

英語表記:channeling

チャネリング防止

英語表記:channeling protection

チャネルストッパ

英語表記:channel stopper

チャンネリング

英語表記:Channeling

チャンバ

英語表記:chamber vessel

チャンバクリーニング プラズマクリーニング

英語表記:chamber cleaning plasma cleaning

チャンバコントローラ

英語表記:chamber controller

チューブコントローラ

英語表記:tube controller

チューブラ型逆浸透膜モジュール 管状型逆浸透膜モジュール

英語表記:tubular type reverse osmosis membrane

チョクラルスキー法

英語表記:Czochralski

チョッパ機構

英語表記:chopper mechanism

ツーウェイ方式

英語表記:two-way

ツールチェンジャ

英語表記:tool changer

ツールハイト

英語表記:tool height

ツール研磨

英語表記:tool lapping

ツール取付長さ

英語表記:setting length of tool

ツエナ効果

英語表記:Zener effect

ツルーイング

英語表記:truing

テーパエッチング

英語表記:taper etching

テーブル

英語表記:table

テーブルティア

英語表記:table tear

テープカット

英語表記:tape cutting

テープキャリヤ

英語表記:tape carrier film carrier

テープキャリヤパッケージ PCP

英語表記:tape carrier package

テープボンディング TAB

英語表記:tape automated bonding

テープレスカット

英語表記:tapeless cuttiing

テープ剥離装置

英語表記:tape peeling machine

テール

英語表記:tail

テール処理

英語表記:tail process

ティルトステップ

英語表記:multiple tilt angle implantation

テイルのばらつき

英語表記:tail length dispersion

テイルレングス

英語表記:tail length

テクスチャマッピング

英語表記:texture mapping

テスタコンピュータ TCP テストプロセッサコントローラ テスタコントローラ

英語表記:tester control processor

テストべクタジェネレータ

英語表記:test vector generator

テストサイト

英語表記:test-site

テストシミュレータ

英語表記:test simulator

テストステーション

英語表記:test station

テストスペック

英語表記:test specification

テストバーンイン装置 テスティングバーンイン装置

英語表記:test burn-in system testing burn-in system

テストパターンメモリ ローカルメモリ

英語表記:test pattern memory local memory

テストヘッド

英語表記:test head

テストボックス アプリケーションボックス

英語表記:test box application box

テストレートピリオド

英語表記:test rate period

テレセントリック

英語表記:telecentric system

テンションヘッド チャックボディ ホイールヘッド

英語表記:tension head

テンプレート

英語表記:template

データセパレーションテスト

英語表記:data separation

データトポロジ機能 データスクランブル機能

英語表記:data topological fanction

データベースシステム

英語表記:data base system

データリテンションテスト

英語表記:data retension test

データレート

英語表記:data rate

データレベル電源

英語表記:data level source

データロギング データログ

英語表記:data logging data log

データログメモリ

英語表記:data logging memory

データ処理

英語表記:data processing

ディザドPLL

英語表記:Dithered PLL

ディスクリート半導体

英語表記:

ディスペンサ

英語表記:dispenser

ディスペンサノズル

英語表記:dispenser nozzle

ディッシング

英語表記:dishing

ディッシング

英語表記:dishing

ディップデベロッパ

英語表記:dip developer

ディップ型

英語表記:dip type

ディファレンシャル DC測定

英語表記:differential DC measurement

ディフェクト

英語表記:defect

ディンプル

英語表記:dimple

ディンプル

英語表記:dimple

デイライト

英語表記:daylight

デカボラン注入

英語表記:decaborane implantation

デゲート

英語表記:degating

デジタル

英語表記:Digital

デジタルIC

英語表記:

デスキュー

英語表記:de-skew

デッドウェイト方式

英語表記:dead weight type

デバイス

英語表記:

デバイスGNDリレー

英語表記:DUT ground relay

デバイスプログラム テストプログラム

英語表記:device program test program

デバイスプログラム トランスレータ

英語表記:device program translator

デバイ温度

英語表記:Debye temperature

デバイ遮蔽

英語表記:debye shield

デバイ長

英語表記:debye length

デバッガ

英語表記:debbuger

デファクトスタンダード

英語表記:

デフロスタ

英語表記:defroster

デマウント装置 ウェーハ剥がし機

英語表記:demounting machine demount station

デューティ比

英語表記:duty ratio

デュアルALPG

英語表記:dual ALPG

デュアルカット

英語表記:dual cutting cuple cutting

デュアルゲート

英語表記:dual-gate

デュアルタイミングジェネレータ

英語表記:dual timing generator

デュアルダマシン

英語表記:dual damascene

デュアルダマシン法

英語表記:dual-damacene

トータルオーバレイ精度

英語表記:total overlay accuracy

トータルメタルロス

英語表記:total metal loss

トップ

英語表記:top

トップリング

英語表記:toppuringu

トップリング 強制駆動機構

英語表記:top ring forced drive

トライオード型RIE装置

英語表記:triode reactive ion etching system

トラップ

英語表記:Trap

トラバーサ リールトラバースユニット

英語表記:traverser unit of traversing reel

トランジスタ

英語表記:Transistor

トランスインピテダンス増幅器

英語表記:trans impedance amplifier

トランスデューサ

英語表記:transducer

トランスファモールド

英語表記:transfer molding

トランスファ出力 射出力

英語表記:transfer force

トランバース滴下

英語表記:transverse dispense

トリガ端子

英語表記:trigger terminal

トリクロルシラン:SiHCl3

英語表記:tri-clorosilane

トリハロメタン

英語表記:trihalomethanes

トルク電流終点検出法

英語表記:torque current end point detection

トレースガスモニタ

英語表記:trace gas monitor

トレー搬送

英語表記:tray handling

トレンチエッチング

英語表記:trench etching

トレンチファースト

英語表記:trench first

トレンチ内ドーピング

英語表記:doping in trench

トレンチ分離

英語表記:shallow trench isolation

トンネルFET

英語表記:

トンネル効果

英語表記:tunnel effect

ドーズ ドーズ量

英語表記:dose

ドーズウインドウ

英語表記:dose window

ドーズ合わせ込み

英語表記:dose matching

ドーパント

英語表記:dopant

ドーパント蒸発法

英語表記:dopant evaporation

ドーピング

英語表記:doping

ドーピング(不純物添加)

英語表記:Doping

ドーピング効果

英語表記:doping effect

ドーピング装置

英語表記:doping system

ドープトオキサイド

英語表記:doped oxide

ドープドオキサイド

英語表記:doped oxide

ドーム型治具

英語表記:dome jig

ドットロギング

英語表記:dot logging

ドナーとアクセプタ(1)

英語表記:

ドナーとアクセプタ(1)

英語表記:

ドナーキラー処理

英語表記:donor killer treatment

ドナー消去 ドナーキラー

英語表記:donor annihilation donor killer

ドライインードライアウト

英語表記:dry-in dry-out

ドライイン・ドライアウト

英語表記:dry in dry out

ドライエッチング

英語表記:Dry Etching

ドライエッチング

英語表記:dry etching

ドライエッチング性

英語表記:dry etching

ドライエッチング装置

英語表記:dry etching equipment

ドライエッチング装置

英語表記:dry etching system

ドライバ

英語表記:driver

ドライホーニング装置

英語表記:dry powder horning machine

ドライポンプ

英語表記:dry vacuum pump

ドライ現像

英語表記:dry developing

ドライ酸化

英語表記:dry oxidation

ドライ洗浄

英語表記:dry cleaning

ドライ洗浄装置

英語表記:dry cleaning   equipment

ドライ洗浄法

英語表記:dry cleaning method

ドラフトチャンバ

英語表記:draft chamber

ドレインボックス

英語表記:drain box

ドレッサ

英語表記:dresser

ドレッシング

英語表記:dressing

ドレッシング

英語表記:dressing

ナノインプリント

英語表記:Nano Imprint

ナノトポロジー

英語表記:nanotopology

ナノメータ描画

英語表記:nanometric lithography

ナローギャップ型RIE装置

英語表記:narrow gap reactive ion etching system

ニュードナー

英語表記:new donor

ニ次元拡散

英語表記:two-dimensional diffusion

ニ次電子放出

英語表記:secondary—electron emission

ネッキング

英語表記:necking

ノズル レジストノズル

英語表記:nozzle

ノズルスキャン

英語表記:nozzle scan

ノックオン

英語表記:knock on

ノックオン効果

英語表記:knock-on effect

ノッチ

英語表記:notchi

ノッチ

英語表記:Notch

ノッチ ノッチング

英語表記:notchi

ノッチ面取り装置

英語表記:wafer notch chamfering machine

ノボラック樹脂

英語表記:novolac resin

ハードケース対応ローダ

英語表記:hardcase adaptable loader

ハーフカット

英語表記:half cutting

ハーフトーン型位相シフトマスク

英語表記:attenuated Phaseーshifting mask, half-tone phase-shifting mask

ハーフトーン型位相シフト露光法

英語表記:attenuated Phaseーshifting method, half-tone phaseshifting method

ハイインピーダンス

英語表記:high-impedance detection function

ハイエネルギーイオン注入装置

英語表記:High Energy Ion Implantation System

ハイドロプレーン現象

英語表記:hydroplane phenomenon

ハイブリットボンダ

英語表記:hybrid bonder

ハイブリッドスキャン

英語表記:hybrid scan

ハブブレード

英語表記:hub blade

ハブレスブレード リングブレード

英語表記:hubless blade ring blade

ハロゲンランプ アニール装置

英語表記:halogen lamp annealer

ハロゲン化物消火設備

英語表記:halogenide extinguishing system

ハンドラ オートハンドラ

英語表記:handler autohandler

バーティカルオートドーピング

英語表記:vertical autodoping

バーニング水素焼き

英語表記:burning hydrogen gas burning

バーンインエスケープ

英語表記:burn-in escape

バーンインコントローラ

英語表記:burn-in controller

バーンインストレス機能

英語表記:burn-in stress function

バーンインタイマ

英語表記:burn-in timer

バーンインチャンバ

英語表記:burn-in chamber

バーンイントラッキング トラッキング

英語表記:burn-in tracking

バーンイントレイ

英語表記:burn-in tray

バーンインボード

英語表記:burn-in board

バーンインボードイジェクタ

英語表記:burn-in board ejecter

バーンインボードインサータ

英語表記:burn-in board inserter

バーンインボードチェッカ

英語表記:burn-in board checker

バーンインラック

英語表記:burn-in rack

バーンイン装置

英語表記:burn-in system

バイアス スパッタリング装置

英語表記:bias sputtering system

バイアススパッタ

英語表記:bias sputter

バイアスプラズマCVD

英語表記:bias plasma CVD

バイナリサーチ バイナリスキャン

英語表記:binary search binary scan

バイパスコンデンサリレードライバ

英語表記:by-pass capacitor relay driver

バイパス漏洩

英語表記:bypass leakage

バイポーラトランジスタ

英語表記:

バウ

英語表記:bow

バキュームパッド

英語表記:vacuum pad

バッキングパッド

英語表記:backing pad

バッキングフィルム

英語表記:backing film

バッキングプレート

英語表記:backing plate

バックグラインド

英語表記:back grind

バックサイドダメージ

英語表記:BSD(Backside Damage)

バックサイドダメージ

英語表記:Backside Damage :BSD

バックサイドダメージ

英語表記:back side damage

バックサイドプレッシャ

英語表記:back side pressure

バックサイドプレッシャー

英語表記:backside pressure

バックラック

英語表記:back rack

バックリンス

英語表記:back rinse

バックリンス

英語表記:back rinse

バッチ間注入均一性

英語表記:batch-to-batch dose uniformity

バッチ型

英語表記:batch type

バッチ式

英語表記:batch processing

バッチ式洗浄装置 ウェットステーション

英語表記:batch cleaning equipmwnt wet station

バッチ洗浄

英語表記:batch cleaning

バッチ搬送

英語表記:batch transportation

バッファ

英語表記:buffer

バッファ層

英語表記:buffer layer

バドル現像

英語表記:puddle developing

バフィング

英語表記:buffing

バブルリークテスト装置

英語表記:bubble leak tester

バリ

英語表記:burr flash bleed

バリアハイト

英語表記:barrier height

バリアメタル

英語表記:barrier metals

バリアメタル

英語表記:barrier metal

バリアメタルの種類

英語表記:barrier metals

バリ取り装置

英語表記:deflasher

バルク

英語表記:bulk

バルクガス

英語表記:bulk gas

バルク欠陥

英語表記:bulk defect

バルブマニホールドボックスガス供給制御装置

英語表記:gas supplying system

バレル型アッシング装置

英語表記:barrel acher

バンクコントローラ

英語表記:bank controller

バンドギャッブナロウイング

英語表記:band gap narrowing

バンドギャップファレンス

英語表記:band-gap reference

バンド構造

英語表記:band structure

バンド理論

英語表記:Band Theory

バンプ ワイヤバンプ

英語表記:bump wire bump

バンプ―構造

英語表記:bamboo structure

バンプテスト機能

英語表記:bump test unction

パーシャルエッチング

英語表記:partial etching

パーシャルサイト

英語表記:partial site

パージモード付シリンダバルブ

英語表記:cylinder valve with purge mode

パースペクティブコレクション

英語表記:perspective collection

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクルカウンタ ダストカウンタ 光散乱パーティクルカウンタ

英語表記:particle counter dust counter light scattering particle counter

パーティションパネル

英語表記:partition panel

パーティングライン

英語表記:parting line

パーピンテスタ パーピンリソーステスタ

英語表記:per-pin tester per-pin resource tester

パイロットピン

英語表記:pilot pin location pin

パウダートラップ

英語表記:powder trap

パスボックス

英語表記:pass box

パターンシフト

英語表記:pattern shift

パターンジェネレータ

英語表記:pattern generator

パターンマッチング法

英語表記:pattern matching method

パターンマルチプレクス機能

英語表記:pattern multiplex function

パターン位置精度

英語表記:pattern placement accuracy

パターン依存性

英語表記:pattern dependence

パターン寸法精度

英語表記:dimension accuracy

パターン倒壊

英語表記:pattern collapse

パターン認識 画像認識

英語表記:pattern recognition

パターン発生プログラム

英語表記:pattern generation program

パターン密度依存性

英語表記:pattern density dependence

パッケージ

英語表記:package

パッケージテスト ファイナルテスト

英語表記:package test final test

パッシベーション

英語表記:passivation

パッドクリープ

英語表記:pad creep

パッド加圧リング

英語表記:pad pressure ring

パドル現像

英語表記:puddle developing

パラレルダウンフローリンス

英語表記:parallel downflow rinse

パラレルビーム

英語表記:parallel beam

パルスヒートツール

英語表記:pulse heated thermode pulse heat tool

パルスマスクテスト

英語表記:pulse mask test

パルスレーザ露光

英語表記:pulse laser exposure method

パルス変調プラズマエッチング装置

英語表記:pulse-time-modulated plasma etching equipment

パルセーションダンパアキュームレータエアチャンバ 

英語表記:pulsation damper accumulator air chamber

パワーMOS FET

英語表記:

ヒートブロック ヒート駒 ヒートプレート

英語表記:heat block heat plate

ヒストグラムメモリ

英語表記:histogram memory

ヒューズリンク

英語表記:fuse link

ヒロック

英語表記:hillock

ヒロック

英語表記:hilloCk

ヒ素

英語表記:arsenie

ビームエネルギー

英語表記:beam energy

ビームコントロール

英語表記:beam control

ビームドリフト

英語表記:positioning drift

ビームフィルタ

英語表記:beam filter

ビームフォーマ

英語表記:beamformer

ビームポジショナ

英語表記:beam positioner

ビームライン

英語表記:beamline

ビーム安定性

英語表記:beam stability

ビーム位置決め精度

英語表記:beam positioning accuracy

ビーム形状

英語表記:beam shape

ビーム径

英語表記:beam diameter

ビーム収束系

英語表記:beam focusing system

ビーム寸法

英語表記:beam Size

ビーム寸法精度

英語表記:beam Size accuracy

ビーム電流量 イオン電流量

英語表記:beam current ion current

ビアファースト

英語表記:via first

ビアホール

英語表記:via hole

ビットエラーレートテスト

英語表記:bit error rate test

ビットシリアル演算

英語表記:bit serial operational operation

ビデオ信号測定機能

英語表記:vodeo signal measurement

ビトリファイド研削といし

英語表記:vitrified grinding wheel

ビルディングブロック

英語表記:building block

ビルドアップ基板

英語表記:build up PCB

ピボットディスペンスノズル

英語表記:pivot dispense nozzle

ピラー

英語表記:pillar

ピンエレクトロイクス

英語表記:pin electronics

ピンチカット

英語表記:pinch cutting

ピンチャック

英語表記:pin chuck

ピンホール漏洩

英語表記:pinhole leakage

ピンマルチプレクス機能

英語表記:pin-multiplex function

ピンモニタ

英語表記:pin monitor

フーリエ変換赤外分光法

英語表記:Fourier Transform Infra-Red Spectroscopy

フーリエ変換赤外分光法 FT-IR

英語表記:Fourier transform infrared spectroscopy

ファーネス移動ストローク

英語表記:furnace lift travel

ファイナルウェーハテスト ポストテスト

英語表記:final-wafer test post test

ファイナルエナジー マグネット FEM

英語表記:final energy magnet

ファインアライメント

英語表記:fine alignment

ファインアライメント

英語表記:fine alignment

ファインピッチ

英語表記:fine pitch

ファウンドリ

英語表記:Foundry

ファセット

英語表記:facet

ファセット

英語表記:facet

ファブレス

英語表記:Fabless

ファミリボックス ファミリヘッド

英語表記:family box family head

ファラデーカップ

英語表記:Farady Cups

ファラデー系

英語表記:faraday system

ファンデアポー法

英語表記:Van der Pauw method

ファンフィルタユニット

英語表記:fan filter unit

フィジカル変換 ロジカル変換

英語表記:physical conversion logical conversion

フィゾー干渉計方式

英語表記:Fizeau interferometer method

フィックの法則

英語表記:Fick'slaw

フィデューシャルマーク

英語表記:fiducial mark

フィレット

英語表記:fillet

フェーズクロック

英語表記:phase clock

フェイスダウンボンディング

英語表記:face down bonding face bonding

フェイルビットマップ

英語表記:fail bit map

フェイルマーク

英語表記:fail mark

フェイルマークインスペクション インクドットインスペクション

英語表記:fail-mark inspection ink dot inspection

フェルミ準位

英語表記:Fermi level

フォーウェイ方式

英語表記:four-way

フォーマッタ

英語表記:formatter

フォーミングガス

英語表記:forming gas mixed gas

フォトダイオード

英語表記:Photo Diode

フォトプロセスでの露光光源

英語表記:

フォトリソグラフィの原理

英語表記:

フォトレジスト・スピンコーティング

英語表記:Photo-resist Spin Coating

フォトレジストのプロセス

英語表記:

フォノン散乱

英語表記:phonon scattering

フックアップ

英語表記:hook up

フットプリント

英語表記:foot print

フッ化不動態処理

英語表記:fluorine passivation treatment

フッ酸

英語表記:hydrofluoric acid

フッ酸

英語表記:fluoric acid

フッ酸ベーパ

英語表記:hydrofluooric acid vapor

フッ素ドープSiO₂

英語表記:SiO₂ film fluorine doped silicon dioxide

フッ素樹脂

英語表記:FP : Fluoropolymer

フッ素添加シリコン酸化膜(SiOF膜)

英語表記:fluorine doped Silicon oxide

フュームドシリカ

英語表記:fumed silica

フラッシュメモリ

英語表記:

フラッシュメモリ E/W サイクル試験装置

英語表記:flash memory E/W cycle test system

フラッシュランプ アニール装置

英語表記:flash lamp annealer

フランク・リード源

英語表記:Frank-Read- source

フランジ

英語表記:flange

フリーアクセスフロア

英語表記:free access floor

フリッカ雑音

英語表記:fliker noise

フリップチップボンディング フリップチップボンダ

英語表記:flip chip bonding flip chip bonder

フリップフロップ

英語表記:

フルカット

英語表記:full cutting

フルサイト

英語表記:full site

フレームアベレージング

英語表記:frame averaging

フレームセンサ

英語表記:flame sensor

フレーム整列装置

英語表記:lead frame loader

フレーム搬送

英語表記:flame handling

フレネル回折

英語表記:Fresnel diffraction

フローショップ

英語表記:flow shop

フローティングゾーン法 FZ法 浮遊帯域溶融法

英語表記:floating zone melting method

フロートポリシング

英語表記:float polishing

フロントサイドゲッタリング

英語表記:Front Side Gettering: FSG

ブライトエッチング

英語表記:bright etching

ブラシスクラバ

英語表記:brush scrubbing

ブラシスクラバ スクラブ洗浄機

英語表記:brush scrubber

ブラシ洗浄

英語表記:brush scrubbing

ブラッシング

英語表記:brushing

ブラッシング

英語表記:brushing

ブラッシング装置

英語表記:brushing machine

ブランカアレー

英語表記:blanker array

ブリュアンゾーン

英語表記:Brillouin zone

ブルーミング試験

英語表記:blooming test

ブレーキング装置

英語表記:wafer breaking equipment

ブレークスルー

英語表記:break through

ブレークダウン

英語表記:air break down

ブレード

英語表記:blade

ブレード原点セット カッタセット

英語表記:blade height calibration

ブレード張り上げ

英語表記:tensioning the blade

ブレード突き出し量

英語表記:blade exposure

ブレード破損検出装置

英語表記:blade breakage detection device

ブレード変位

英語表記:blade deflection

ブレード変位計

英語表記:blade deflection sensor

ブレード摩耗補正

英語表記:blade wear compensation

ブレイクイン

英語表記:break in

ブロック・ダイアグラム

英語表記:

ブロックカット

英語表記:block cut

ブロックホスト

英語表記:block host

ブロック浮動小数点演算

英語表記:block floating point calculation

プッシュプル回路

英語表記:

プラグ

英語表記:plug

プラズマ

英語表記:Plasma

プラズマCVD

英語表記:PECVD : Plasma Enhanced Chemical Vapor Deposition

プラズマCVD

英語表記:plasma - enhanced Chemical Vapor Deposition

プラズマCVD装置

英語表記:plasma enhanced CVD system

プラズマTEOS CVD

英語表記:plasma TEOS CVD

プラズマX線源

英語表記:plasma X-ray source

プラズマアッシング装置

英語表記:plasma ashing system

プラズマエッチング装置

英語表記:plasma etching system

プラズマダウンフロー

英語表記:plasma downflow

プラズマダウンフロー処理

英語表記:plasma downflow treatment

プラズマダメージRIE_Damage(損傷)

英語表記:Plasma Damage

プラズマドーピング

英語表記:plasma doping

プラズマドーピング装置

英語表記:plasma doping system

プラズマフラッドガン PFG

英語表記:plasma flood gun

プラズマ汚染

英語表記:plasma contamination

プラズマ源

英語表記:plasma source

プラズマ酸化

英語表記:plasma oxidation

プラズマ酸化

英語表記:plasma oxidation

プラズマ振動

英語表記:plasma vibration

プラズマ振動法

英語表記:plasma oscillation method

プラズマ洗浄

英語表記:plasma cleaning

プラズマ洗浄装置

英語表記:plasma cleaning equipment

プラズマ損傷

英語表記:plasma damage

プラズマ窒化

英語表記:plasma nitridation

プラズマ電位

英語表記:plasma potential

プラズマ内電位

英語表記:plasma inside potential

プラズマ薄膜化法

英語表記:plasma assisted thinning method

プラズマ分離型 マイクロ波 プラズマCVD装置

英語表記:after glow microwave plasma enhanced CVD system

プラズマ分離型プラズマエッチング装置

英語表記:down stream plasma etching system

プラズマ陽極酸化装置

英語表記:plasma oxidations system

プラテン

英語表記:platen

プラテン

英語表記:platen

プラテン

英語表記:platen

プラナリゼーション

英語表記:planarization

プランジャ

英語表記:plunger

プランジャ等圧機構

英語表記:transfer pressure balancing mechanism

プリアモルファス化

英語表記:pre-amorphization

プリアライメント

英語表記:pre alignment

プリアライメント

英語表記:pre-alignment

プリアライメント

英語表記:prealignment

プリアンプ

英語表記:preamplifier

プリウェーハテスト プリテスト

英語表記:pre-wafer test pre test

プリウェット

英語表記:pre-wet

プリクリーニング チャンバ

英語表記:pre-cleaning chamber

プリスパッタリング

英語表記:pre-sputtering

プリチャージ

英語表記:precharge

プリディスペンス ダミーディスペンス プライムディスペンス

英語表記:pre-dispense dummy-dispense prime-dispense

プリパージ

英語表記:pre-purge

プリヒータ

英語表記:pre-heater

プリフォーマ

英語表記:preformer

プリベーク ソフトベーク PAB

英語表記:pre-bake soft-bake post-apply-bake

プルカット

英語表記:pull cut

プルテスタ

英語表記:pull tester

プルテスト 引張強度

英語表記:pull test

プレストンの式

英語表記:Preston's Equation

プレナム

英語表記:plenum

プローブカード コンタクトプローブ

英語表記:probe card contact probe

プローブマークインスペクション 針跡インスペクション

英語表記:probe-mark inspection

プローブ針 プローブニードル

英語表記:probe needle

プロキシミティベーク

英語表記:proximity bake

プロジェクションアライナ

英語表記:projection aligner

プロジェクテッドレンジ(投影)

英語表記:Projected Range:Rp

プロセス インデュースト パーティクル カウンタ

英語表記:process induced particle counter

プロセスインテグレーション

英語表記:process integration

プロセスインテグレーション

英語表記:process integration

プロセスウィンドウ

英語表記:process window

プロセスエリア

英語表記:process area

プロセスカップ 洗浄カップ スクラブステーション

英語表記:process cup

プロセスシミュレーション

英語表記:process simulation

プロセスチューブ

英語表記:process tube

プロセスデータ

英語表記:process data

プロセスレシピ

英語表記:process recipe

プロセス温度

英語表記:process temperature

プロセス管理システム

英語表記:process management system

プロセス余裕度

英語表記:process margm, process latitude

プロセス用排ガス処理装置

英語表記:exhaust gas abatement equipment for processor

プロセス要因

英語表記:process induced error

ヘイズ

英語表記:haze

ヘイズ

英語表記:haze

ヘキソード型RIE装置

英語表記:hexode type reactive ion etching system

ヘッド間均一性 HTH

英語表記:head to head uniformity

ヘテロ接合

英語表記:hetero junction

ヘリウムリークデテクタ

英語表記:helium leak detector

ヘリカル型エッチング装置

英語表記:herical type etching equipment

ヘリコン波エッチング装置

英語表記:hericon wave etching equipment

ヘリコン波エッチング装置

英語表記:helicon etching system

ヘリコン波プラズマ

英語表記:helicon wave plasma

ベーキング装置

英語表記:baking unit

ベースライン

英語表記:base line

ベアチップ

英語表記:ベアチップ

ベイシステム

英語表記:bay system

ベクトル相関法

英語表記:vector correlation method

ベストフィット基準

英語表記:best fit plane reference

ベベル

英語表記:bevel

ベベルカット

英語表記:bevel cutting

ベルジャ

英語表記:beljar

ベルトレス搬送

英語表記:beltless transfer system

ベルヌーイチャック

英語表記:Bernoulli chuck

ページテスト機能

英語表記:page test function

ペデスタル

英語表記:pedestal

ペリクル

英語表記:Pellicle

ペリクル保護膜

英語表記:pellicle fiilm

ホール効果

英語表記:Hall effect

ホール効果

英語表記:Hall effect

ホウ素

英語表記:boron

ホウ素ゲッタリング

英語表記:laser Scattering Tomography Defect

ホスト

英語表記:host

ホットウォール

英語表記:hot wall

ホットキャリア

英語表記:

ホットキャリア試験

英語表記:hot -carrier test

ホットゾーンパーツ 加熱構成部品

英語表記:hot zone parts

ホットチャック ヒートアップステージ

英語表記:hot chuck heat up stage

ホットプレートオーブン

英語表記:hot plate oven

ホローファイバ型逆浸透膜モジュール 中空糸型逆浸透膜モジュール

英語表記:hollow fiber type reverse osmosis menbrane module

ホワイトバランス処理

英語表記:white balance

ボーイング

英語表記:bowing

ボーダレス配線

英語表記:borderless wiring

ボート

英語表記:boat

ボート

英語表記:boat

ボートエレベータ

英語表記:boat elevator

ボートトランスファ

英語表記:boat transfer

ボートハンドラ

英語表記:boat handler

ボートローダ

英語表記:boat loader

ボート移動ストローク

英語表記:boat lift travel

ボート回転機構

英語表記:boat rotation mechanism

ボードインサートチェック機能

英語表記:board insert check function

ボーム条件

英語表記:Bohm's condition

ボールシアテスタ

英語表記:ball shear tester

ボールシア強度 ボンドシア強度

英語表記:ball shere strength

ボールボンディング ボールボンダ

英語表記:ball bonding ball bonder nail head bonder

ボール圧着径

英語表記:squashed ball diameter

ボール形状

英語表記:ball shape

ボイド

英語表記:void

ボイド

英語表記:void

ボイド

英語表記:void

ボイド

英語表記:void

ボイド

英語表記:void

ボイド、ボイドフリー

英語表記:void, void free

ボディ

英語表記:body

ボロンレスフィルタ

英語表記:boron free filter

ボンディングスピード

英語表記:bonding speed

ボンディングパッド パッド  

英語表記:bonding pad

ボンディングパラメータ

英語表記:bonding parameter

ボンディングヘッド

英語表記:bonding head

ボンディングワイヤ

英語表記:bonding wire

ボンディング荷重

英語表記:bonding force

ボンディング精度

英語表記:bonding accuracy

ボンディング長さ ボンディングスパン

英語表記:bonding length

ボンド法

英語表記:Bond method

ポーラスシリカ

英語表記:porous Silica

ポーラス型イオン交換 樹脂 MR型イオン交換樹脂

英語表記:porous type ion exchange resin macro reticular type ion exchange resin

ポアソン比

英語表記:Poisson's ratio

ポイゾンドビア

英語表記:poisoned Via

ポゴコンタクト ポゴピン

英語表記:POGO contact POGO pin

ポストベーク アフタベーク ハードベーク

英語表記:post -bake after-bake hard-bake

ポッティング装置

英語表記:potting equipment

ポット

英語表記:pot

ポッド

英語表記:pod

ポッドオープナー

英語表記:pod opener

ポッピング

英語表記:popping

ポリイミド

英語表記:PI : Polyimide

ポリイミド塗布

英語表記:polyimide coating

ポリゴンレンダリング

英語表記:polygon rendering

ポリサイド

英語表記:policide

ポリサイド膜

英語表記:polycide film

ポリシュストップ

英語表記:polish stop

ポリシリコン(多結晶シリコン)

英語表記:

ポリシング

英語表記:polishing

ポリシングスラリー

英語表記:polishing slurry

ポリシングスラリーの分散性

英語表記:dispersibility of polishing slurry

ポリッシャ

英語表記:polisher

ポリッシュ レート

英語表記:polish rate

ポリッシング

英語表記:polishing

ポリッシング(鏡面研磨)

英語表記:Polishing

ポリバックシール

英語表記:PBS (Poly Back Seal)

ポリ結晶 多結晶

英語表記:polycrystal

マーキング

英語表記:marking

マーキングインク

英語表記:marking ink

マーキングエリア マーキング面積

英語表記:marking area

マーキングマシン

英語表記:marking machine

マーキング強度 捺印強度

英語表記:marking strength

マーキング光学系

英語表記:marking opptics

マーキング速度

英語表記:marking speed

マーク検出

英語表記:mark detection

マイクロカラム

英語表記:nucrocolumn

マイクロシャロービット

英語表記:MSP:Micro Shallow Pit

マイクロスピリット

英語表記:microsplit

マイクロバブル

英語表記:micro bubble

マイクロプログラム

英語表記:micro program

マイクロプロセッサのマルチメディア拡張

英語表記:multimedia extensions for microprocessors

マイクロラフネス

英語表記:micro-roughness

マイクロラフネス

英語表記:micro-roughness

マイクロラフネス

英語表記:microroughness

マイクロラフネス

英語表記:microroughness

マイクロローディング効果

英語表記:Micro Loading Effect

マイクロローディング効果 RIEラグ

英語表記:microloading effect RIE lag

マイクロ波 プラズマCVD装置

英語表記:microwave plasma enhanced CVD system

マイケルソン干渉法

英語表記:Michelson interference method

マイコン、MCU

英語表記:

マウスホール

英語表記:mouse hole

マウンタ

英語表記:mounter

マウント装置 ウェーハ貼付機

英語表記:mounting machcine mount station

マウント板

英語表記:mount plate top plate block carrier plate

マガジン

英語表記:magazine

マガジンスタッカ

英語表記:magazine stacker

マクロ成長(ストリエーション)

英語表記:macro growth (striation)

マグネトロン

英語表記:magnetron

マグネトロン

英語表記:magnetron

マグネトロン スパッタリング装置

英語表記:magnetron sputtering system

マグネトロン型RIE装置

英語表記:magnetron enhanced reactive ion etching system

マグネトロン型RIE装置

英語表記:magnetron type RIE equil)ment

マグネトロン放電

英語表記:magnetron discharge

マスキングブレード レチクルブラインド

英語表記:masking blade reticle blind

マスク

英語表記:mask

マスク

英語表記:mask

マスク/レチクル

英語表記:mask/reticle

マスク・ブランクス

英語表記:Mask Brancks

マスクバイアス

英語表記:mask bias

マスクリニアリティ

英語表記:mask linearity

マスク欠陥検査

英語表記:mask defect inspection

マスク欠陥修正

英語表記:mask defect repair

マスク材工ッチング

英語表記:mask material etching

マスク自動チェンジャ

英語表記:mask auto changer

マスク寸法

英語表記:mask dimension

マスク製作

英語表記:

マスク描画

英語表記:photo mask writing

マスク用メンブレン

英語表記:mask membrane

マスク用絶縁膜

英語表記:mask dielectrics

マスフローメータ

英語表記:mass flow meter

マックスウェル・ポルツマン分布

英語表記:MaxwellーBoltzman distribution

マッチモード

英語表記:pattern matching mode

マッチングユニット マッチングボックス マッチングネットワーク

英語表記:matching unit matching box matching network

マッチング率

英語表記:pattern matching ratio

マッピング装置

英語表記:mapping system

マトリクス

英語表記:matrix

マトリックスフレーム

英語表記:matrix frame

マニピュレータ ヘッドスタンド ヘッド架台

英語表記:manipulator

マニフェスト

英語表記:manifest

マニュアルステーション

英語表記:manual station

マランゴニカによる乾燥方法

英語表記:Marangoni force dry

マランゴニ乾燥

英語表記:marangoni drying

マランゴニ乾燥

英語表記:marangoni drying

マルチアライメント

英語表記:multi alignment

マルチサイトプロービング マルチプロービング

英語表記:multi-site probing

マルチステップ処理

英語表記:multi-step processing

マルチチャンバ装置

英語表記:multi-chamber system

マルチトーン測定機能

英語表記:multi-tone function

マルチプレクサ

英語表記:multiplexer

マルチボンディング

英語表記:multiple bonding

マルチマガジン方式

英語表記:multi magazine ttype

マルチワイヤソー

英語表記:multi wire saw

ミクロ成長(異常偏析)

英語表記:micro growth

ミスファイア

英語表記:misfire

ミスフィット転位

英語表記:misfit dislocation

ミスフィット転位

英語表記:misfit dislocation

ミスマッチ

英語表記:mismatch

ミックスアンドマッチ

英語表記:mix-and-match-

ミップマッピング

英語表記:mipmapping

ミディアムカレントイオン注入装置

英語表記:Medium Current Ion Implantation System

ミニエンバイロメント

英語表記:minienvironment

ミニバッチ装置

英語表記:mini batch system

ミニプリーツ型エアフィルタ

英語表記:mini-pleats type air filter

ミニマルファブ

英語表記:Minimal Fab

ミラー

英語表記:mirror

ミラーウェーハ 鏡面ウエーハ ポリッシュドウエーハ

英語表記:mirror wafer polished wafer

ミラーブラヴェ指数

英語表記:Miller-Bravais index

ミラーレンズ投影露光装置

英語表記:mirror-lens projection aligner

ミラー指数

英語表記:Miller index

ミラー投影露光装置

英語表記:mirror projection aligner

ムーアの法則

英語表記:Moore' s law

メインフレーム プラットフォーム

英語表記:main frame plat form

メカニカルクランプ機構

英語表記:mechanical clamp

メカニカルスキャン

英語表記:mechanical scan

メカニカルチャック

英語表記:mechanical check

メカノケミカルポリシング

英語表記:mechano chemical poliching

メカノケミカル現象

英語表記:mechano-chemistry

メガソニック洗浄

英語表記:megasonic cleaning

メガソニック洗浄装置 メガヘルツ超音波洗浄装置

英語表記:megasonic cleaning equipment

メガヘルツ超音波洗 浄装置 メガソニックスプレー洗浄装置

英語表記:mega hertz ultrasonic cleaning equipment megasonic spray cleaning equipment

メタクリル酸系レジスト

英語表記:methacrylate based resist

メタライゼーション

英語表記:metallization

メタライゼーション技術

英語表記:metallization technology

メタルCVD

英語表記:metal Chemical Vapor Deposi tion

メタル・ゲート

英語表記:Metal Gate

メタル研削といし

英語表記:metal grinding wheel

メディアプロセッサ

英語表記:media processor

メモリ

英語表記:Memory

メモリアルテストパターン

英語表記:memory test pattern

メモリテストシステム メモリテスタ

英語表記:memory test system memory tester

メルトレベル制御システム

英語表記:automatic melt level control system

メンブレンプローブカード

英語表記:membrane probe card

モールドプレス

英語表記:molding press

モールド装置

英語表記:molding equipment

モアレトポグラフィ方式

英語表記:Moire topography

モニタード バーンイン装置

英語表記:monitored burn-in system

モニタボード

英語表記:monitor board

モニタリング

英語表記:monitaring

モノシラン:SiH

英語表記:mono-silane

モノシラン反応機構

英語表記:reaction mechanism of monosilane

モリブデン

英語表記:molibdenum

ヤング率

英語表記:Young's modulus

ユースポイント

英語表記:point of use

ユースポイント配管 端末配管

英語表記:distribution piping for ultrapure water

ユーティリティ

英語表記:utilities utility program

ユーティリティ ボックス

英語表記:utility box

ライトインテグレータ

英語表記:light integrator

ライフタイム

英語表記:life time

ライフタイム

英語表記:lifetime

ライフタイム

英語表記: lifetime

ライフタイム測定器

英語表記:lifetime measurement system

ラインアンドスペース

英語表記:line and space

ラザフォード後方散 乱分光法 RBS

英語表記:Rutherford back scattering method

ラザフォード後方散乱分析

英語表記:Rutherford Backscattering Spectrometry

ラジオシティ法

英語表記:radio city process

ラジカル

英語表記:Radical

ラジカル

英語表記:radical

ラジカル

英語表記:radical

ラジカル源

英語表記:radical source

ラジカル洗浄

英語表記:cleaning using radicals

ラスタ走査

英語表記:raster scan

ラッピング

英語表記:lapping

ラッピング

英語表記:lapping

ラッピング

英語表記:lapping

ラッピング(粗研磨)

英語表記:Lapping

ラップドウェーハ ラッピングウェーハ

英語表記:lapped wafer lappping wafer

ラップラウンド

英語表記:lap round

ラテラルオートドーピング

英語表記:lateral autodoping

ラマン分光法 Raman

英語表記:Raman spectroscopy

ランアウト

英語表記:runout

ランク別捺印

英語表記:rank marking

ラングミュアプローブ

英語表記:Langmmr probe

ランゲリア指数 飽和指数

英語表記:Langelier index saturation index

ランナ

英語表記:runner

ランナストップ

英語表記:runner stop

ランプCVD装置

英語表記:ultraviolet lamp heating CVD system

ランプアップ

英語表記:ramp up

ランプパワーコントロール

英語表記:lamp power control

ランプヒータ

英語表記:lamp heater

ランプレート

英語表記:ramp rate

ランプ電圧測定 ランプ破壊試験

英語表記:ramp voltage test

ランプ配列

英語表記:lamp arrangement

リーク電流

英語表記:leak current

リードカット リード切断

英語表記:lead cutting lead trimming

リードフレーム

英語表記:lead frame

リードロケイタ

英語表記:lead locator

リード加工機

英語表記:trim and forming machine cut and bending machine

リード加工金型

英語表記:trim and forming die cut and bending die

リード成形 リード曲げ

英語表記:lead forming

リード平坦度

英語表記:coplanarity

リーフ式コンタクト

英語表記:lerf type contact

リアルタイム救済判定機能

英語表記:real-time redundancy judgement function

リウム+E1381:E1385

英語表記:TEA CO2レーザ TEAレーザ

リキッドバーンイン装置

英語表記:liquid burn-in system

リコンフィギュラブルロジック

英語表記:reconfigurable logic

リコンフィギラブルコンピ ューティング

英語表記:reconfigurable computing

リセス

英語表記:recess

リセス プラグロス

英語表記:recess plug loss

リソグラフィ

英語表記:lithography

リソグラフィー

英語表記:lithography

リソグラフィ技術

英語表記:lithography

リダンダンシ アナライザ RAメモリリペア アナライザ

英語表記:redundancy analyzer

リダンダンシ機能 不良救済機能

英語表記: redundancy function

リチウム(Li)

英語表記:lithium

リチャージ法 マルチブリング法

英語表記:recharge CZ method multi pulling method

リッジ

英語表記:ridge

リテーナプレッシャー

英語表記:retainer

リテーナリング

英語表記:retainer ring

リテンション

英語表記:retention

リニアテストシステム アナログテストシステム

英語表記:linear test system analog test system

リネージ

英語表記:lineage

リバースモーション

英語表記:reverse motion

リピータ

英語表記:repeater

リファレンス電源

英語表記:reference source

リフトオフ

英語表記:lift-off

リフトオフ

英語表記:lift off

リフレッシュ

英語表記:

リフレッシュ機能

英語表記:refresh function

リフロー

英語表記:reflow

リフロー装置

英語表記:reflow furnace

リフロー平坦化

英語表記:reflow planarization

リフロー埋め込み温度

英語表記:reflow temperature

リフロー埋め込み機構

英語表記:reflow mechanism

リミット値

英語表記:limit value

リリースフィルム

英語表記:release film

リン

英語表記:phosphorus

リンクカットデータ ヒューズデータ

英語表記:link cut data fuse data

リンス

英語表記:rinse

リン拡散ゲッタリング

英語表記:Phosphorus Diffusion Gettering: PDG

ルーチン制御プログラム

英語表記:routine control program

ループ ループ形状 ループ高さ

英語表記:loop waire loop loop shape loop height

ループコントロール

英語表記:loop control

ループフィルター

英語表記:loop filter

レーザ(LASER)

英語表記:Light Amplification by Stimulated Emission of Radiation

レーザCVD装置

英語表記:laser assisted CVD system

レーザ アニール装置

英語表記:laser annealer

レーザ・アニール

英語表記:Laser Anneal

レーザゲッタリング

英語表記:laser gettering

レーザゲッタリング

英語表記:laser gettering

レーザドーピング

英語表記:laser doping

レーザドーピング装置

英語表記:laser doping system

レーザパワー

英語表記:laser power

レーザビームテストシステム レーザビームプローバ

英語表記:laser beam test system laser beam prober

レーザプレーション

英語表記:laser ablation

レーザホモジナイザ

英語表記:laser homogenizer

レーザマーカ

英語表記:laser marker

レーザマーク IDマーク

英語表記:laser mark

レーザリペアシステム メモリリペアシステム リダンダントメモリリペアシステム

英語表記:laser repair system memory repair system redundant memory repair system

レーザレチクル描画

英語表記:laser reticle writing

レーザ干渉

英語表記:laser interference

レーザ共振器

英語表記:laser cavity

レーザ計測

英語表記:laser interferometer

レーザ顕微鏡

英語表記:laser microscope

レーザ出力安定度

英語表記:laser, output stability

レーザ測定

英語表記:laser interferometry

レーザ溶接装置

英語表記:laser beam welding equipment

レーリーの式(1);解像度の式

英語表記:

レーリーの式(2);焦点深度の式

英語表記:

レイアウト

英語表記:

レイズドフロア

英語表記:raised floor

レイトレーシング(光線追跡)法

英語表記:ray tracing process

レギュレータ

英語表記:regulator

レシーバ

英語表記:receiver

レシピ

英語表記:recipe

レジスト・下地選択性

英語表記:selec tiV1 ty over resist and underlying materials

レジストの種類と感光機構

英語表記:resist materials and photosenSitive mechanism

レジストノズル

英語表記:resist nozzle

レジストヒーティング

英語表記:resist heating

レジストプロセス

英語表記:resist process

レジストボンプ

英語表記:resist pump

レジストポンプ ダイアフラムポンプ ベローズポンプ

英語表記:resist pump diaphragm pump bellows pump

レジストレーション

英語表記:registration

レジスト安定化ユニット

英語表記:resist curing system

レジスト安定化処理装置

英語表記:resist curmg system

レジスト温度調整

英語表記:resist temperature control

レジスト感度

英語表記:resist sensitivity

レジスト形状シミュレーション

英語表記:Simulation Of cross—sectional View Of resist

レジスト現像処理装置

英語表記:resist developer

レジスト処理装置

英語表記:resist processing equipment

レジスト選択性

英語表記:selectivity over resist

レジスト耐熱性

英語表記:resist thermal stability

レジスト耐熱性

英語表記:resist thermal stability

レジスト塗布処理装置

英語表記:resist coater

レジスト剥離液

英語表記:resist stripper

レジスト剥離装置

英語表記:resist stripping system

レジスト膜厚均一性

英語表記:resist film thickness uniformity

レジスト膜厚分布 レジスト膜均一性

英語表記:resist thickness uniformity

レジノイド研削といし

英語表記:resinoid grinding wheel

レジンカット

英語表記:resin cutting

レジン残り

英語表記:remaining resin

レチクルアライメント

英語表記:reticle alignment

レチクルステージ マスクステージ

英語表記:reticle stage mask stage

レチクルホルダ マスクホルダ

英語表記:reticle holder mask holder

レチクルローダ レチクルチェンジャ

英語表記:reticle loader reticle changer

レチクルローテーション

英語表記:reticle rotation error

レチクル異物検査

英語表記:reticle inspection

レトログレード

英語表記:retro grade

レベリング

英語表記:leveling

レボリューションマーク

英語表記:revolution mark

レンズ投影露光装置

英語表記:lens projection aligner

ロータリーヘッドボンダ

英語表記:rotary head bonder

ローダ

英語表記:loader

ローディングフレーム

英語表記:loading frame

ローディング効果

英語表記:loading effect

ローディング効果

英語表記:loading effects

ローディング効果 近接効果 疎密効果

英語表記:loading effect proximity effect

ロードポート

英語表記:load port

ロードマップ

英語表記:Roadmap

ロードロック室 真空予備室

英語表記:load-lock chamber

ローラ

英語表記:roller

ロギングメモリ

英語表記:logging memory

ロジック、  ロジックIC

英語表記:

ロジックテストシステム ロジックテスタ

英語表記:logic test system logic tester

ロジックデバイス

英語表記:logic device

ロット

英語表記:lot

ワークブロック

英語表記:ingot mounting block

ワーク押さえ

英語表記:work clamp work holder clamp

ワープ

英語表記:warp

ワームホール

英語表記:worm hole

ワイドギャップ半導体

英語表記:

ワイパ

英語表記:clean wiper

ワイブルグラフ ワイブルブロット

英語表記:weibull graph weibull plot

ワイヤ

英語表記:wire

ワイヤのつぶれ幅

英語表記:deformation width of wire

ワイヤクランプ

英語表記:wire clamp

ワイヤタッチ

英語表記:wire touch

ワイヤテンション

英語表記:wire tension

ワイヤフィード

英語表記:wire feed

ワイヤボンディング

英語表記:wire bonding

ワイヤリール ワイヤボビン リール

英語表記:wire reel wire bobbin reel

ワイヤレスボンディング ギャングボンディング シングルポイントボンディング

英語表記:wireless bonding gang bonding single point bonding

ワイヤ供給角度

英語表記:wire feed angle

ワイヤ曲がり カール量

英語表記:crooked wire wire sway wire curl

ワイヤ走行方式

英語表記:wire running method

ワイヤ流れ

英語表記:wiredeformation wire sweep

ワックスレス研磨

英語表記:waxless polishing

ワンラインコンパイラ 1ラインコンパイラ

英語表記:one line compiler

αブレンディング

英語表記:alpha blending

γ値

英語表記:gamma value

μ-PCD法

英語表記: μ-Photo Conductive Decay method

ф450mm

英語表記:450mm Wafer

圧縮圧力

英語表記:compressive stress

圧縮機

英語表記:compressor

圧力ショット

英語表記:pressure shock

圧力検出器

英語表記:pressure sensor

圧力式ろ過器

英語表記:pressure filter

安全メガネ

英語表記:safety glasses

暗号LSI

英語表記:cipher LSI

位相シフトマスク

英語表記:Phase-shifting mask

位相比較器

英語表記:phase comparator

異種金属間接触

英語表記:metal-metal contact

異種金属接触信頼性

英語表記:reliability of metalーmetal contact

異常拡散

英語表記:anomalous diffusion

異常酸素析出

英語表記:AOP:Anomalous Oxygen Precipitation, precipitate

異方性

英語表記:anisotropic

異方性エッチング

英語表記:anisotropic etching

異方性エッチング

英語表記:anisotropic etching

異方性エッチング

英語表記:

移載インターロック

英語表記:transfer interlock

移載精度

英語表記:transfer accuracy

移送コレット 移送ノズル

英語表記:transfer collet transfer nozzle

移動度

英語表記:mobility

移動度

英語表記:Mobility

移動度

英語表記:mobility

一過式冷却水設備

英語表記:one pass condensing water system one pass cooling water system

一括式X線露光装置

英語表記:X-ray full wafer aligner

一次研磨

英語表記:stock removal polishing

一次純水

英語表記:primary pure water

一次純水装置 メイクアップシステム

英語表記:primary pure water system make-up system

一次粒子

英語表記:primary particle

一槽式洗浄装置 ワンバス式洗浄装置 単槽型洗浄装置

英語表記:one bath type cleaning equipment

一段熱処理

英語表記:annealing

一筆書き ライティング

英語表記:epoxy writing

一方向流型クリーンルーム

英語表記:unidirectional flow clean room

印字精度

英語表記:marking accuracy

引き上げ乾燥装置

英語表記:capillary drying equipment pull up drying equipment

引出電圧

英語表記:extraction voltage

引出電極系

英語表記:extraction electrodes

引上シャフト

英語表記:pull shaft

引上ワイヤ

英語表記:pull wire

陰極結合

英語表記:cathode coupling

隠面消去

英語表記:Hidden surface removal

右回転 CW

英語表記:clock wise

渦電流終点検出法

英語表記:end point detection by eddy current

渦電流法

英語表記:eddy current

液浸ステッパ

英語表記:Immersion Stepper

液相エピタキシャル成長装置

英語表記:liquid phase epitaxial growth system

液体ソース供給装置

英語表記:liquid source delivery system

液体微粒子計

英語表記:liquid borne particle counter

液体封止引上法 加圧引上法

英語表記:liquid encapsulation Czochralski method high pressure Czochralski method

円テーブル真空チャック

英語表記:vacuum chuck

円形カット

英語表記:circle cultting

円筒型プラズマエッチング装置

英語表記:barrel type plasma etching system

延性モード研削法

英語表記:brittle mode grinding

演算増幅器

英語表記:operational amplifier

演算増幅器

英語表記:operational amplifier

演算能力

英語表記:

煙感知器

英語表記:smoke detector

遠心スプレー洗浄装置

英語表記:centrifugal spray cleaning equipment

遠心乾燥装置 スピンドライヤ

英語表記:centrifugal drying   equipment spin rryer

鉛フリー

英語表記:lead-free Pb-free

塩酸酸化

英語表記:hydrochloric acid oxidation

塩酸酸化

英語表記:HCl oxidation

塩素剤注入装置 塩素処理装置

英語表記:chlorination equipment

汚染除去

英語表記:contamination control

汚泥

英語表記:sludge

汚泥脱水機

英語表記:sludge dehydrator

汚泥濃縮槽

英語表記:sludge thickener

往復カット

英語表記:down and up cutting

応力

英語表記:stress

横広がり抑制

英語表記:lateral spread control

温湿度検出器

英語表記:temperature and humidity sensor

温純水乾燥

英語表記:hot water drying

温超純水供給装置 超純水加熱装置

英語表記:deionized water heating equipment

温度

英語表記:temperature

温度サイクル試験

英語表記:cycling test

温度プロファイル

英語表記:temperature profile

温度リカバリタイム

英語表記:temperature recovery time

温度下降時間

英語表記:temperature fall time

温度計測終点検出法

英語表記:end point detection by temprerature measurement

温度上昇時間

英語表記:temperature rise time

温度特性

英語表記:temperature dependence

温度偏差

英語表記:temperature distribution

下地ダメージ

英語表記:underlying damage layer

下地段差効果

英語表記:Effects of substrate steps

下地膜

英語表記:liner

下定盤

英語表記:lower lapping plate

化学シフト

英語表記:chemical shift

化学吸着

英語表記:chemisorption

化学吸着フィルタ

英語表記:chemical filter

化学吸着フィルタ

英語表記:chemical air filter

化学研磨

英語表記:chemical polishing

化学処理方式

英語表記:chemical treatment

化学洗浄

英語表記:chemical cleaning

化学増幅レジスト

英語表記:chemically amplified resist

化学増幅型レジスト

英語表記:chemicaiiy amplified resist

化学増幅型レジスト

英語表記:chemically amplified resist

化学増幅型レジスト

英語表記:

化学増幅型レジスト処理装置

英語表記:chemically amplified resist processmg equipment

化学的機械研磨

英語表記:CMP : Chemical Mechanical Polishing

化学的気相成長

英語表記:CVD : Chemical Vapor Deposition

化学的気相成長法

英語表記:CVD:Chemical Vapor Deposition

化学的洗浄

英語表記:chemical cleaning method

化合物半導体

英語表記:Compound Semiconductor

価電子帯

英語表記:Valence band

加圧酸化

英語表記:high pressure oxidation

加圧法

英語表記:high pressure methode

加圧埋め込み

英語表記:force fill process

加工層

英語表記:work damage layer

加工変質層

英語表記:damaged layer

加工変質層

英語表記:subsurface

加速管

英語表記:acceleration tube

加速器

英語表記:

加速電圧

英語表記:acceleration voltage

加速律

英語表記:acceleration factor

可変成形ビーム

英語表記:variable shaped beam

架橋反応

英語表記:cross¯linking

稼働率

英語表記:availability

荷電中和法

英語表記:charge neutralization method

過酸化水素処理装置

英語表記:hydro-peroxide removal equipment

過剰消去禁止機能 過剰書き込み禁止機能

英語表記:over-erase prohibit function over-programming prohibit function

過冷却度

英語表記:supercooling limit

画素間演算

英語表記:pixel-to-pixel operation

画像データプロセッサ

英語表記:image data processor

画像データメモリ フレームメモリ

英語表記:image data memory frame memory

画像データ処理

英語表記:image data processing

画像間演算

英語表記:picture-to-picture operation

画像処理検出

英語表記:Field Image Alignment:FIA

画面サイズ

英語表記:image field

解像度 解像力 解像線幅

英語表記:resolution

解像度限界

英語表記:resolution limit

解放循環式冷却水設備

英語表記:open circuit condensing water system open circuit cooling water system

解離過程

英語表記:dissociative process

解離断面積

英語表記:dissociation cross section

回転数立上げ時間

英語表記:revolution speed set up time

回転注入

英語表記:rotational implant

回転塗布

英語表記:rotation paint

回転版接触装置

英語表記:rotating biological contactor

界面活性剤

英語表記:surfactant

界面活性剤添加洗浄薬品

英語表記:surfactant added cleaning chemical

界面準位

英語表記:interface state

界面反応

英語表記:interfacial reaction

開環重合

英語表記:ring opening polymerization

開管法

英語表記:open-tube method

階間搬送

英語表記:interlevel transportation interfloor transportation

階層処理

英語表記:hierarchical OperatiOn

外観検査装置

英語表記:visual inspection equipment

外形認識

英語表記:outline recognition

外周面取研削装置

英語表記:chamfer grinding machine

外部駆動能力

英語表記:external drive ability

外部燃焼装置

英語表記:external torch unit

外方拡散

英語表記:out-diffusion

外方拡散

英語表記:out-diffusion

拡散

英語表記:diffusion

拡散(熱拡散)

英語表記:Thermal Diffusion

拡散係数

英語表記:diffusion coefficient

拡散経路

英語表記:Diffusion path

拡散定数

英語表記:diffusion constant

拡散方法

英語表記:diffusion method

拡散炉

英語表記:Diffusion Furnace

格子間型拡散

英語表記:interstitial diffusion

格子間酸素

英語表記: oxygen

格子間酸素

英語表記:interstitial oxygen:Oi

格子定数

英語表記:lattice constant , lattice parameter

核磁気共鳴法 NMR

英語表記:nuclear magnetic resonance method

核阻止能

英語表記:unclear stopping

角型カット

英語表記:square cutting

角錐コレット

英語表記:inverted pyramidal collet

角度研磨法

英語表記:angle lap method

角度分解オージェ電子分光法

英語表記:angle-resolved Auger electron spectroscopy

活性汚泥処理装置

英語表記:activated sludge process equipment

活性化

英語表記:activation

活性化エネルギー

英語表記:activation energy

活性種 ラジカル

英語表記:activated species radical

活性炭ろ過器 活性炭塔

英語表記:carbon filter activated carbon filter

活性炭吸着塔

英語表記:activated carbon filter

乾式排ガス処理装置

英語表記:dry type exhaust gas abatement equipment

乾燥

英語表記:drying

乾燥機

英語表記:heat oven

乾燥装置

英語表記:dryer

乾燥装置

英語表記:drying equipment

乾燥方法

英語表記:drying process

感震装置

英語表記:seismometer

環化ゴム

英語表記:cyclic rubber

環境制御型走査電子顕微鏡

英語表記:environmental scanning electron microscope

環境耐性

英語表記:environmental stability

監視槽

英語表記:monitor tank

緩衝フッ酸溶液

英語表記:buffered hydrofluoric acid

緩和誘起ゲッタリング

英語表記:relaxation-induced gettering

貫通転位

英語表記:threading dislocation

還元剤注入装置

英語表記:dechlorination equipment

還元性

英語表記:deoxidization

基準面

英語表記:reference plane

基板

英語表記:substrate

基板エッチング

英語表記:windowing

基板依存性

英語表記:substrate poisoning

基板温度効果

英語表記:effects of substrate temperature

基板用語

英語表記:ingot

基板冷却機構

英語表記:substrate cooling mechanism

基本ゲート

英語表記:

揮発性と不揮発性メモリ

英語表記:

期待値パターン

英語表記:expectation value pattern

機械的方法

英語表記:mechanical cleaning method

機能モデル

英語表記:functional model

機能仕様

英語表記:functional specification

機能水

英語表記:functional water

機能水

英語表記:functional water(ozonated UPW, hydrogenated UPW, specific gas dissolved UPW et.al)

機能設計とツール

英語表記:design and tool for function design

気圧倍率補正案

英語表記:magnification correction system for atmospheric pressure

気相エピタキシャル成長装置

英語表記:vaxialapor phase epitaxial growth system

気相拡散

英語表記:vapor-phase diffusion

気相拡散

英語表記:gas-phase diffusion

気相拡散法

英語表記:vapor Phase diffusion method

気相成長

英語表記:vapor phase growth

気相洗浄装置

英語表記:vapor phase cleaninge equipment

気体浮上搬送装置

英語表記:gas floating transportationn

気密封止

英語表記:hermetic seal

気流可視化

英語表記:airflow visualization

気流速度

英語表記:airflow velocity

気流平行性

英語表記:airflow parallelism

擬似欠陥

英語表記:false defect

犠牲酸化

英語表記:sacrified oxidation

逆RIEラグ

英語表記:inverse RIE lag

逆ボンド

英語表記:reverse bonding

逆止弁

英語表記:check valve

逆浸透装置 RO装置

英語表記:reverse osmosis unit

逆浸透膜 RO膜

英語表記:reverse osmosis membrane

逆流防止バルブ

英語表記:back flow free check valve system

吸じん装置

英語表記:dust collector

吸収

英語表記:absorption

吸収体

英語表記:absorber

吸収体エッチング

英語表記:absorber pattern etching

吸着

英語表記:adsorption

吸着/非吸着 バキューム/ノンバキューム

英語表記:vacuum/nonvacuum

吸着ステージ

英語表記:vacuum chuck stage

吸着プレート

英語表記:vacuum plate

吸着材式排ガス処理装置

英語表記:exhaust gas abatement equipment by absorption process

吸着式ドライヤ

英語表記:absorption dryer

許容発熱負荷

英語表記:allowed heat load

共晶ボンディング

英語表記:eutectic bonding

強誘電体材料

英語表記:ferroelectric material

強誘電体薄膜

英語表記:ferroelectric thin film

強誘電体膜

英語表記:ferroelectric film

鏡面ウエーハ 表面検査装置表面LSM検査装置

英語表記:laser surface scanner light scattering measurement system

鏡面研磨

英語表記:鏡面研磨

鏡面面取り装置

英語表記:edge polisher

凝集ろ過装置 マイクロフロックろ過器

英語表記:filtration equipment with coagulation

凝集剤注入装置

英語表記:coagulants feeding equipment

凝集沈でん装置

英語表記:coagulo sedimentation tank coagulo-settling tank

凝集反応槽 混和槽

英語表記:rapid mixing chamber

局所電界効果

英語表記:local electric field effect

曲げ試験

英語表記:bending test

極低マンガン SUS 316 L

英語表記:Mn fume free SUS 316 L

極微細描画

英語表記:ultra fine patterning

均一性

英語表記:uniformity

均一性

英語表記:uniformity

均熱管

英語表記:liner tube

均熱長

英語表記:flat zone length

禁止帯

英語表記:

禁制帯幅のエネルギー

英語表記:bandgap energy

緊急ガス遮断装置

英語表記:emergency gas shut off system

緊急シャワー

英語表記:emergency water shower

緊急遮断弁

英語表記:emergency stop valve

緊急排気設備

英語表記:emergency exhaust system

緊急用排ガス処理装置

英語表記:exhaust gas abatement equipment for emergency

近接ギャップ

英語表記:proximity gap

近接効果

英語表記:proximity effect

近接効果補正

英語表記:OpticalProximity Correction : OPC

近接転写

英語表記: proximity printing

近接露光方式

英語表記:proxmuty exposure method

金および白金拡散

英語表記:diffusion of Au and Pt

金型クリーニング

英語表記:mold cleaning

金型温度

英語表記:mold temperature

金属シリコン:MG-Si

英語表記:Metallurgical Grade-Silicon

金属汚染

英語表記:metal contamination

金属汚染

英語表記:metalic contamination

金属埋め込み(プラグ)

英語表記:plug formation

空間フィルタ

英語表記:spatial filtering

空間周波数

英語表記:spatial frequency

空間周波数変調型位相シフト露光法

英語表記:alternating phase-shift mask

空間電荷層

英語表記:space charge region

空気呼吸器

英語表記:self contained breathing apparatus

空気調和設備

英語表記:air conditioning system

空孔濃度

英語表記:vacancy concentration

群遅延時間測定

英語表記:group delay measurement

珪藻土ろ過器 プレコートろ過器

英語表記:diatomite filter pre-coat filter

型締力

英語表記:mold clamping force

型内圧力

英語表記:internal die pressure

形式的論理検証

英語表記:

形状

英語表記:etched feature

形状異常

英語表記:profile irregularities

携帯電話用LSI

英語表記:ISI for Mobile Telephone Terminal

継手

英語表記:fitting

蛍光X線膜厚計

英語表記:X-ray fluorescence coating thickness gauge

蛍光X線分光分析法 XRFS

英語表記:X-ray fluorescence spectroscopy

軽元素不純物

英語表記:light element impurity

軽装ラック

英語表記:instrumentation

欠陥

英語表記:grown-in defect

結合剤

英語表記:bond

結合度

英語表記:grade

結晶、単結晶

英語表記:crystal,single crystal

結晶のねじれ

英語表記:twist of crystal

結晶引上機構

英語表記:crystal lift mechanism

結晶格子

英語表記:crystal lattice

結晶強度

英語表記:strength of crystal

結晶系

英語表記:crystal system

結晶構造

英語表記:crystal structure

結晶軸

英語表記:crystallographic

結晶性

英語表記:crystalline characteristics

結晶性

英語表記:crystalline

結晶配向性

英語表記:crystal orientation

結晶方位

英語表記:crystal orientation

結晶面

英語表記:crystal surface

結晶粒界

英語表記:grain boundary

検出器

英語表記: height detector

検証

英語表記:

研削

英語表記:grinding

研削といし

英語表記:grinding wheel

研削ウエーハ厚さ

英語表記:actual wafer thickness

研削液

英語表記:grinding water

研削材

英語表記:abrasive

研削盤

英語表記:grinding machine

研削盤

英語表記:grinding machine

研削油剤装置

英語表記:coolant apparatus

研磨

英語表記:polishing

研磨レート

英語表記:removal rate

研磨圧力

英語表記:polishing pressure

研磨温度

英語表記:polishing temperature

研磨材

英語表記:abrasive

研磨材(スラリー)

英語表記:slurry

研磨材混合ホーニング装置

英語表記:wet powder honing machine

研磨紙

英語表記:abrasive paper sandpaper

研磨資材

英語表記:consumables

研磨時間

英語表記:polishing time

研磨終点信号

英語表記:end point signal of polishing

研磨傷

英語表記:scratch

研磨条件

英語表記:polishing condition

研磨性能

英語表記:polishing performance

研磨精度

英語表記:polishing accuracy

研磨定盤

英語表記:turn table platen

研磨抵抗

英語表記:polishing resistance

研磨特性

英語表記:polishing characteristics

研磨廃水処理装置

英語表記:grinding waste water treatment equipment

研磨布

英語表記:polishing cloth cloth pad buff

研磨布

英語表記:polishing pad

研磨布の圧縮率

英語表記:compressibility of polishing pad

研磨布の溝加工

英語表記:grooving of polishing pad

研磨布の硬度

英語表記:hardness of polishing pad

研磨布の粘弾性

英語表記:viscoelasticity of polishing pad

研磨布の物性

英語表記:properties of polishing pad

研磨布材

英語表記:polishing cloth material

研磨布寿命

英語表記:polishing pad life

研磨布密度

英語表記:apparent density of polishing pad

研磨量

英語表記:stock removal

原子・励起分子ビーム照射法

英語表記:atom・excited molecule beam etching

原子間力顕微鏡 AFM

英語表記:atomic force microscope

原子吸光法

英語表記:atomic absorption analysis

原子層エピタキシャル成長装置 ALE装置

英語表記:atomic layer epitaxial growth system

原水調整槽

英語表記:waste water equalizing tank

原料

英語表記:precursor

減圧CVD装置 低圧CVD装置

英語表記:low pressure CVD system

減圧エッチング

英語表記:vacuum etching

減圧機構

英語表記:reduced pressure controller

減圧気相エピタキシャル成長装置 低圧気相エピタキシャル成長装置

英語表記:reduced pressure vapor phase epitaxial growth system low pressure vapor phase epitaxial growth system

減圧成形

英語表記:vacuum molding

減圧脱気液浸浸漬式エッチング装置

英語表記:evacuated wet etching system

減圧排気システム

英語表記:exhaust system

減圧法

英語表記:kesshou

減速モード ディセルモード

英語表記:deceleration mode decel mode

現像

英語表記:development

現像レート

英語表記:developing rate

現像液

英語表記:developer

現像液温度調整

英語表記:developer temperature control

現像均一性

英語表記:developing uniformity CD uniformity

現像均一性

英語表記:CD utiiformity

現像欠陥

英語表記:post-develop defect

現像前べーク

英語表記:post exposure bake

現像前べーク

英語表記:Post Exposure Bake

現像装置

英語表記:developer

限外ろ過

英語表記:ultrafiltration

限外ろ過装置 UF装置

英語表記:ultrafiltration equipment

個別半導体テストシステム ディスクりートテスタ

英語表記:discrete component test system discrete tester

固液界面

英語表記:interface between solid and melt

固化率

英語表記:solidification ratio

固相エピタキシャル成長装置

英語表記:solid phase epitaxial growth system

固相拡散

英語表記:solid-phase diffusion

固相拡散

英語表記:solid-phase diffusion

固相拡散法

英語表記:solid phase diffusion method

固体ソースMBE

英語表記:solid source MBE

固体蒸発源

英語表記:solid vaporizer

固定と粒

英語表記:bonded abrasive

固定砥粒

英語表記:fixed abrasive

固定砥粒パッド

英語表記:fixed abrasive pad

固定砥粒研磨

英語表記:fixed abrasive polishing

固溶度

英語表記:solid solubility

固溶度

英語表記:solubility

後処理室

英語表記:post-treatment chamber

後洗浄

英語表記:post-cleaning process

後段加速方式

英語表記:post acceleration

後腐食

英語表記:after corrosnon

誤差要因

英語表記:error items

誤作動防止バルブ制御システム

英語表記:error operation free valve control system

交互測定機能 シリアル測定機能

英語表記:multiplex test function sirial test function

光CVD装置

英語表記:photo assisted CVD system

光ブリーチ効果

英語表記:photo bleaching

光リソグラフィ

英語表記:photolithography

光化学洗浄装置 UVオゾン洗浄装置 紫外線オゾン洗浄装置

英語表記:photo-chemical cleaning equipment

光学式表面粗さ測定

英語表記:optical surface roughness measurement

光学像

英語表記:aerial image

光干渉膜厚測定

英語表記:interferrometry thickness measurement

光気相エピタキシャル成長装置

英語表記:photo assisted vapor phase epitaxial growth system

光起電力効果

英語表記:photo voltaic effect

光輝焼鈍管 BA管

英語表記:bright annealing pipe

光近接効果

英語表記:optical proximity effect

光源

英語表記:source

光散乱法

英語表記:light scattering method

光散乱法

英語表記:light scattering

光像法

英語表記:light figure method

光弾性応力解析法

英語表記:photoelastic stress-strain analysis method

光電子広がり

英語表記:photoelectron scattering, range

光電子放出効果

英語表記:photo-emission effect

光電方式

英語表記:photoelectric sensing method

光電流法 P(H)C

英語表記:photo current method

光導電効果

英語表記:photo-conductive effect

光誘起電流 光励起電流 OBIC

英語表記:optical beam induced current

光容量法

英語表記:photo capacitance method

光励起

英語表記:photo-excited cleaning

光励起アッシング装置

英語表記:photo excited ashing system

光励起エッチング装置

英語表記:photo excited etching system

光励起発光法 PL

英語表記:photo luminescence method

光露光プロセス

英語表記:photolithography process

光露光装置

英語表記:optical aligner

厚さ

英語表記:thickness

厚さむら 5点厚さむら

英語表記:thickness variation five points thickness

向流再生式イオン交換装置

英語表記:counterflow regeneration ion exchange equipment

孔明きパネル

英語表記:perforated panel

工ステルイ化率

英語表記:ester rate

工ッジリンス

英語表記:edge rmse

工ッチング耐性

英語表記:dry etch resistance

工ッチング反応機構

英語表記:etching reaction mechanisms

工作主軸

英語表記:work spindle

工作主軸台

英語表記:work head spindle head head stock

工程間搬送

英語表記:interbay transportation

工程情報(管理)

英語表記: process information

工程内搬送

英語表記:intrabay transportation

広がり抵抗測定法

英語表記:spread resistance measurement method

広がり抵抗法 SR

英語表記:spreading resistance method

抗折強度

英語表記:die strength

硬度

英語表記:hardness

降伏応力

英語表記:yield stress

降伏現象

英語表記:breakdown phenomenon

高さセンサ

英語表記:height sensor

高アスペクト比形状

英語表記:high aspect ratio profile

高エネルギーイオン注入装置 高電圧イオン注入装置

英語表記:high energy ion implanter high voltage ion implanter

高スループット化

英語表記:high throughput

高圧ジェット洗浄

英語表記:high pressure water jet cleaning

高圧電子顕微鏡法 HVEM

英語表記:high voltage electron microscopy

高圧熱酸化装置

英語表記:high pressure hermal oxidation furnace

高圧噴射洗浄装置 高圧ジェットスプレー

英語表記:high pressure jet spray

高温リフロー スパッタリング

英語表記:high temperature reflow sputtering

高温薬液循環ろ過装置

英語表記:hot acid circulation and filtration system

高音測定機能

英語表記:hot testing function

高周波  プラズマCVD装置

英語表記:RF plasma enhanced CVD system

高周波ボンディング

英語表記:high frequency ultrasonic bonding

高周波マッチングシステム

英語表記:high frequency matching system

高周波ワークコイル

英語表記:radio frequency workcoil

高周波信号測定機能

英語表記:radio frequency mesurement

高周波増幅器

英語表記:high frequency amplifier

高周波電極 陰極

英語表記:RF electrode

高周波電源

英語表記:high frequency power supply

高周波電源

英語表記:RF generator

高周波電源モニタ

英語表記:high frequency power supply monitor

高周波特性

英語表記:high frequency characteristic

高周波放電

英語表記:high frequency discharge

高周波誘導加熱真空蒸着装置

英語表記:induction heating evaporation system

高周波誘導加熱法

英語表記:radio frequency heating method

高性能多層配線

英語表記:high performance multi-level interconnections

高速アッシング

英語表記:high rate ashing

高速ナロービード溶接

英語表記:high speed one pass welding

高速化

英語表記:throughput increase

高速昇降温炉

英語表記:fast thermal process system

高速成膜

英語表記:high deposition rate

高低音測定機能

英語表記:hot and cold testing function

高電圧ターミナル

英語表記:high voltage terminal

高電圧露光

英語表記:high acceleration voltage exposure

高不純物濃度化

英語表記:high impurity concentration

高風速バーンイン装置

英語表記:high wind velocity burn-in system

高密度プラズマCVD

英語表記:HDP CVD : High Density Plasma CVD

高密度プラズマCVD

英語表記:high density plasma enhanced CVD

高密度プラズマエッチング

英語表記:High Density Plasma Etching

高誘電率膜

英語表記:high dielectrics constant film

合わせ精度

英語表記:overlay accuracy

合成高分子製逆浸透膜 複合膜

英語表記:synthetic polymer composite reverse osmosis membrane thinfilm composite membrane

黒鉛炉原子吸光分析計 フレームレス原子吸光分析計

英語表記:graphite furnace atomic absorption spectrometer

混床式イオン交換塔

英語表記:mixed bed column

混床式ポリッシャ

英語表記:mixed bed polisher

混変調測定

英語表記:intermodulation measurement

混流型クリーンルーム

英語表記:miXed flow clean room

左回転 CCW

英語表記:counter clock wise

差圧ダンパ

英語表記:pressure setting damper

差圧検出器

英語表記:differential pressure sensor

差動トランス終点検出法

英語表記:liner variable diferential tranceducer end point detection

差動増幅回路

英語表記:

差動増幅器

英語表記:Differential amplifier 

砂ろ過器 急速ろ過機

英語表記:sand filter rapid sand filter

再結合

英語表記:

再結合ライフタイム

英語表記:recombination lifetime

再現性

英語表記:repeatability

再生

英語表記:regeneration

再生ウェーハ

英語表記:reclain wafer

再生レベル

英語表記:regeneration level

再生廃水中和装置

英語表記:regeneration waste water neutralizing equipment

最小パルス幅

英語表記:minimum pulse width

最小検出パルス幅

英語表記:minimum detectable pulse width

最大チャージ量

英語表記:Charge size crucible capacity

最大粒子

英語表記:maximum grain size

細線

英語表記:thin wire fine wire

殺菌

英語表記:sterilization

雑音

英語表記:noise

皿状ピット

英語表記:shallow pit saucer

三重ウェル

英語表記:triple well

三値ドライブ

英語表記:three level drive

散乱電子

英語表記:scattering electron

酸化

英語表記:oxidation

酸化

英語表記:oxidation

酸化・拡散/不純物ドーピング技術

英語表記:oxidation・ diffusion/impurity dopin g technologies

酸化マンガンスラリー

英語表記:manganese oxideslurry

酸化還元装置

英語表記:oxidation reduction equipment

酸化還元電位

英語表記:oxidation reduction potential

酸化還元電位計

英語表記:oxidation reduction potential(ORP)

酸化種

英語表記:oxidation seed

酸化増速、減速拡散

英語表記:oxidation-enhanced、retarded diffusion

酸化物ゾル

英語表記:oxide sol

酸化膜CMP

英語表記:oxide CMP

酸拡散

英語表記:acid diffusion

酸素

英語表記:oxygen

酸素ドナー

英語表記:oxygen donor

酸素プラズマ耐性

英語表記:02 plasma resistance

酸素析出

英語表記:oxygen precipitation

酸素析出

英語表記:precipitation of oxygen

酸素析出物

英語表記:oxide precipitate

酸素析出物

英語表記:oxygen precipitate

酸素濃度モニタ

英語表記:oxygen concentration monitor

酸窒化酸化 N₂O酸窒化

英語表記:oxynitridation in N₂O(NO)

残存空気

英語表記:residual air

残留オゾン処理装置

英語表記:ozone gas processor

残留塩素計

英語表記:residual chlorine meter

残留溶媒量

英語表記:remanung solovent

残留粒子数

英語表記:numbers of unremovable particle

仕事関数

英語表記:work function

仕上研磨 ファイナル スーパーファイナル

英語表記:final polishing final super final

仕様

英語表記:

四探針法

英語表記:four point probe method

指向性

英語表記:directivity

紫外線殺菌器 UV殺菌器

英語表記:ultraviolet sterilizer

紫外線酸化装置

英語表記:ultraviolet-ray oxidation equipment

試験周波数 動作周波数

英語表記:test frequency operating frequency

磁気カップリング 回転導入

英語表記:magnetic coupled feedthrough

磁気中性線放電

英語表記:magnetic neutral loop discharge

磁気浮上搬送

英語表記:magnetic levitation transfer

磁気浮上搬送

英語表記:magnetic levitation transfer

磁場

英語表記:magnetic field

磁場スキャン

英語表記:magnetic scan

磁場測定器

英語表記:magnetic field measuring instrument

磁場中引上法 磁場印加引上法 MCZ法

英語表記:magnetic field applied Czochralski crystal growth method

自家発電設備

英語表記:non-utility generation facility

自己スパッタリング

英語表記:self sputtering

自己バイアス電圧

英語表記:self-bias voltage

自己診断機能 ダイアグ

英語表記:self diagnostic function

自己整合コンタクト

英語表記:SAC : Self-Aligned Contact

自己整合技術

英語表記:self-alignment technology

自己平坦膜

英語表記:self planarization film

自公転治具 プラネタリ治具

英語表記:rotary and revolutionary

自然酸化除去

英語表記:native oxide removal

自然酸化膜

英語表記:native oxide

自然酸化膜

英語表記:native oxide

自走ロボット

英語表記:automatic guided vehicle with robot

自動圧力制御

英語表記:automatic pressure control

自動圧力制御

英語表記:automatic pressure control

自動温度制御システム

英語表記:automatic temperature control system

自動化

英語表記:automatically calibration

自動継手締め付け工具

英語表記:automatic joint fastening tool

自動欠陥レビュー/分類 ADR/ADC

英語表記:auto defect review/ classification

自動公転機能

英語表記:spin and rotation

自動消火装置

英語表記:automatic fire extinguisher

自動焦点合わせ

英語表記: auto focusmg

自動直径制御システム

英語表記:automatic diameter control system

自動定寸装置

英語表記:automatic sizing device

自動定寸装置

英語表記:adaptive controller automatic thickness controller

自動貼合わせ機能 PTPA

英語表記:automatic probe to pad alignment function probe to pad alignment

自動排熱機構 オートダンバ

英語表記:automatic exhaust air mechanism

自動搬送システム AMHS

英語表記:automatic transportation system automated material handling system

自発反応

英語表記:spontaneous reaction

湿式排ガス処理装置

英語表記:wet type exhaust gas abatement equipment

質量分析器

英語表記:Mass Analyzer

質量分析計

英語表記:mass analyzing system

質量分析能力

英語表記:mass analyzing capability

斜光照明

英語表記:oblique lighting

斜入射干渉計方式

英語表記:grading incidence interferometer method

斜入射照明

英語表記:off—axis illumination

遮光帯

英語表記:shielding light band

主放電

英語表記:main discharge

手押し台車 PGV MGV

英語表記:pushcart personnel guided manual guided veicleh

種結晶

英語表記:seed crystal

種子結晶

英語表記:seed crystal

種棒切断 種子切断

英語表記:seed cut

受変電設備

英語表記:electrical transmission and distribution systems

収差

英語表記:aberration

収束イオンビーム法 FIB

英語表記:focused ion beam

周辺部除外領域

英語表記:edge exclusion

周辺露光 ウェーハ周辺露光 選択露光

英語表記:optical edge bead remover

周辺露光装置

英語表記:wafer edge eXPOSUre

修正キャリヤ

英語表記:dressing carrier conditioning carrier

終点検出

英語表記:endpoint detector

終点検出

英語表記:end point detection

終点検出

英語表記:end point momtoring

終点検知

英語表記:end point detection

集積ガスユニット

英語表記:integrated gas unit

集積化部品

英語表記:integrated components

集束イオンビーム(FIB)法

英語表記:Focused Ion Beam

集束イオンビーム露光

英語表記:focused ion-beam exposure

集中監視盤 中央監視制御

英語表記:central monitoring and control system

縦型ステッパ

英語表記:vertical stepper

縦型ブリッジマン法

英語表記:ertical Bridgman method

縦型減圧CVD装置

英語表記:vertical low pressure CVD system

縦型装置

英語表記:vertical type reactor system

重ね合せ精度 レジストレーション精度

英語表記:overlay accuracy

重ね合わせ

英語表記:overlay

重ね合わせ精度

英語表記:overlay accuracy

重金属汚染

英語表記:heavy metal contamination

重金属汚染

英語表記:pollution of heavy metal

重金属廃水処理装置

英語表記:heavy metal waste water treatment equipment

重金属不純物

英語表記:heavy metal impurity

重力式ろ過器

英語表記:gravity filter

縮小レンズ光学系

英語表記:reduced lens-optical system

縮小転写イオン光学系

英語表記:ion optics for projection 10n beam lithography

縮小倍率

英語表記:reduction ratio

縮小露光

英語表記:reduction exposure, El-TV lithography

縮小露光系

英語表記:image reduction system

出力パターンセンス法

英語表記:sensitizing pattern generation method

循環ろ過システム

英語表記:recirculation filtration system

循環ろ過式洗浄槽

英語表記:bath with filter for circulating solution

準備室

英語表記:anteroom

純水タンク

英語表記:pure water storage tank

純水リンス

英語表記:pure water rinsmg

純水冷却

英語表記:deionized water cooling

書き込みエラー 消去エラー

英語表記:write error erase error

書き込みフェイル 消去フェイル

英語表記:write fail erase fail

書き込み許容エラー 回数 消去許容エラー

英語表記:write error allowance erase error allowance

徐冷ゾーン

英語表記:cooling zone

除鉄・除マンガン装置

英語表記:deiron demanganese equipment

除電装置

英語表記:charge neutralizer

小型リング

英語表記:compact ring

少量危険物貯蔵庫

英語表記:store room for small quantity dangerous

昇降温プロファイル

英語表記:temperature up and down profile

晶癖

英語表記:crystal habit

晶癖線

英語表記:seam line

焼却装置

英語表記:incineration equipment

焦点・非点補正

英語表記:focus・astigmatism revision

焦点深度 DOF

英語表記:depth of focus D.O.F

焦点面

英語表記:focal plane

照射損傷

英語表記:irradiation damage

照射量補正

英語表記:dose modulation correction method

照度むら

英語表記:illumination uniformity

照明系

英語表記:illumination system

照明条件差

英語表記:effects of difference of illumination conditions

省エネルギー設備

英語表記:energy saving system

省レジスト技術

英語表記:reduced resist consumption

衝突・無衝突減衰

英語表記:collision・nonーcollision attenuation

衝突周波数

英語表記:collision frequency

衝突断面積

英語表記:collision cross section

上向流ろ過器

英語表記:up-flow filter

上定盤

英語表記:upper lapping plate

上面/下面研削幅

英語表記:upper/ lower surface grinding width

常圧CVD装置

英語表記:atmospheric pressure CVD system

常圧気相エピタキシャル成長装置

英語表記:atmospheric pressure vapor phase epitaxial growth system

常圧熱酸化装置

英語表記:atmospheric thermal oxidation furnace

常圧法

英語表記:atmospheric

蒸気乾燥装置

英語表記:vapor drying equipment

蒸発源

英語表記:evaporation

蒸発材料

英語表記:evaporation material

蒸発残さ計

英語表記:non-volatile residue analyzer

蒸発濃縮装置

英語表記:evaporator

色差分離処理

英語表記:YC separate

色再現性試験

英語表記:color linearity

触針式表面粗さ測定

英語表記:stylus surface roughness measurement

触媒湿式酸化処理装置

英語表記:catalyzed wet oxidation equipment

触媒樹脂脱酸素装置

英語表記:oxyducgen reduction equipment with catalytic resin

信号処理演算回路

英語表記:Signal processing operating circuit

振動

英語表記:vibration

振動ピックアップ

英語表記:vibration pickup

振動レベル計

英語表記:vibration level meter

振動解析終点検出法

英語表記:end point detection by vibration analysis

振動周波数分析器

英語表記:vibration frequency analyzer

振動励起

英語表記:vibrational excitation

新線送り量

英語表記:length of fresh wire feeding

浸漬式エッチング装置

英語表記:immersion wet etching system

深い不純物準位

英語表記:deep level

深冷空気分離装置

英語表記:cryogenic air separator

真空ロボット

英語表記:robot for using in vacuum

真空乾燥装置

英語表記:vacuum drying equipment

真空計

英語表記:vacuum gauge

真空紫外光吸収分光

英語表記:vacuum ultra violet absorption spectroscopy

真空紫外線照射除電装置

英語表記:deep ultraviolet charge neutralizer

真空紫外発光分析

英語表記:vacuum ultra Violet emission spectroscopy

真空蒸着

英語表記:evaporation

真空蒸着装置

英語表記:vacuum evaporation

真空掃除システム セントラルバキュームクリーニング

英語表記:central vacuum cleaning system

真空脱気塔

英語表記:vacuum degagifier

真空法

英語表記:high vacuum method

真性半導体

英語表記:intrinsic semiconductor

真性半導体

英語表記:intrinslC semiconductor

真利値表

英語表記:

親水性

英語表記:hydrophilicity

親水性表面

英語表記:hydrophilic surface

進捗管理システム

英語表記:work in process tracking system

酢酸セルローズ製逆浸透膜 CA膜

英語表記:cellulose acetate reverse osmosis membrance

図形演算処理

英語表記:pattern operation

垂直性

英語表記:vertical

垂直立上げ

英語表記:swift start-up system

水圧ホーニング装置

英語表記:water jet horning machine

水素ターミネーション 水素終端化

英語表記:hydrogen termination

水素プラズマ曝露

英語表記:hydrogen plasma exposure

水分圧

英語表記:H2O partial pressure

水平ブリッジマン法 温度傾斜法

英語表記:horizontal Bridgman

水平ローダ

英語表記:horizontal loader

雛型剤

英語表記:mold release

寸法シフト

英語表記:measure shift

寸法シフト 寸法変換量 CDロス

英語表記:pattern shift critical dimension loss

寸法ドリフト

英語表記:pattern size drift

寸法測定

英語表記:dimension measurement

制御空気源装置

英語表記:control-air source equipment

成形タイム

英語表記:molding time

成形金型 マルチブランジャ金型 コンベンショナル金型

英語表記:molding die multiple plunger molding die conventional molding die

成長の異方性

英語表記:anisotropy of growth

成長結晶最大直径

英語表記:growing crystal diameter

成長縞

英語表記:striation

成長縞

英語表記:growth striation

成長速度

英語表記:growth rate

成膜均一性

英語表記:process uniformity

正・負イオン交互照射

英語表記:positive/negative Ions

正孔

英語表記:hole

清浄度 清浄度レベル

英語表記:cleanliness cleanliness level

清浄度クラス

英語表記:cleanliness class

清浄度回復能

英語表記:cleanliness recovery characteristic clean down capability

清浄度管理

英語表記:cleanliness control

生菌数 細菌数

英語表記:number of micro organisms number of bacteria

生産スケジュール

英語表記:production cchedule

生産管理システム

英語表記:production management system

生産用真空設備

英語表記:process vacuum system

生物脱窒素装置

英語表記:biological nitrogen removal equipment

精研

英語表記:fine grinding

精製速度

英語表記:refining speed

精度

英語表記:accuracy

精密ろ過膜 メンブレンフィルタ MF

英語表記:micro filter membrane filter

製品安全データシート MSDS

英語表記:material safety data sheet

静圧軸受

英語表記:static pressure bearing

静電スキャン

英語表記:electrostatic scan

静電ダメージ

英語表記:electrostatic damage

静電チャック

英語表記:electrostatic clampless holder

静電チャック

英語表記:electrostatic chuck

静電チャックサセプタ

英語表記:static electric chuck sucepter

静電気テスタ 静電気チェッカ

英語表記:static electricity tester

静電靴

英語表記:static-dissipative shoes

静電除去機

英語表記:static eliminator

静電電位計

英語表記:electrostatic potentiometer

静電容量方式

英語表記:capacitive sensing method

脆性モード研削法

英語表記:ductile mode grinding

析出

英語表記:precipitation

析出

英語表記:precipitation

析出酸素量

英語表記:amount of oxygen precipitation

石英るつぼ

英語表記:quartz crucible

石英管洗浄装置

英語表記:quartz tube cleaning equipment

積算形電気移動度分析器 EAA

英語表記:integral mobility analyzer electrical aerosol analyzer

積層パッド

英語表記:stacked pad

積層欠陥

英語表記:stacking fault

積和演算器

英語表記:multiply and accumulate arithmetic logic unit

赤外干渉法

英語表記:infrared interference method

赤外吸収

英語表記:infrared absorption

赤外吸収分光法 IR

英語表記:infrared absorption spectroscopy

赤外線アニール装置

英語表記:infrared annealer

赤外線オーブン

英語表記:IR oven

赤外線トモグラフ IR-LST

英語表記:infrared laser scaltering tomograph

赤外線干渉終点検出法

英語表記:end point detection by infrared interferomety

赤外線集中加熱法

英語表記:infrared heating method

切屑分離装置

英語表記:coolant separator

切断機

英語表記:スライシングマシン

切断機

英語表記:slicing machine

接合

英語表記:junction

接触ばっ気装置

英語表記:biological contact aeration equipment

接触角

英語表記:contact angle

接触子 コンタクト

英語表記:contactor

接続精度

英語表記:butting accuracy

接地設備

英語表記:ground earth

接地電極 陰極

英語表記:ground electrode anode

接着SOIウェーハ 張り合わせSOIウェーハ

英語表記:bonding silicon on insulator wafer

接着性

英語表記:adhesion

設計アルゴリズム

英語表記:design algorithm

設備移動管理システム

英語表記:equipment utilization management system

浅い接合

英語表記:shallow junction

浅い接合形成

英語表記:shallow junction

洗眼器

英語表記:eye washer

洗浄/前処理

英語表記:cleaning for contact/via hole

洗浄・乾燥装置

英語表記:cleaner and dryer

洗浄技術

英語表記:cleaning technology

洗浄装置

英語表記:cleaning equipment

洗浄装置

英語表記:cleaning equipment

洗浄装置

英語表記:cleaning system

洗浄表面計測

英語表記:evaluation Of cleaned surface

洗浄評価

英語表記:cleaning evaluation

潜傷

英語表記:blind scratch

線欠陥

英語表記:line defect

線欠陥試験

英語表記:line defect test

線源径

英語表記:source Size

線接触型ポリシング装置

英語表記:linear contact polishing machine

線幅精度

英語表記:line width accuracy

選択CVDプロセス

英語表記:selective CVD process

選択W-CVD機構

英語表記:mechanism Of selective W-CVD

選択・非選択CVD

英語表記:selective CVD, blancket CVD

選択エピタキシャル成長

英語表記:Selective Epitaxial Growth .SEG

選択エピ成長

英語表記:selective epitaxial growth

選択拡散

英語表記:selective diffusion

選択鏡面エッチング

英語表記:selective nurror surface etching

選択研磨

英語表記:selective polishing

選択性

英語表記:selectivity

選択成長

英語表記:selective growth

選択破れ

英語表記:selective break

選択比

英語表記:Selectivity Etching Ratio

選択比

英語表記:selectivity

選択比

英語表記:selectivity

遷移層

英語表記:transition layer

遷移領域

英語表記:transition layer

前室

英語表記:pre-cleanroom

前処理

英語表記:pretreatment

前処理装置

英語表記:pretreatment equipment

前洗浄

英語表記:pre-cleaning process

前段加速方式

英語表記:preacceleration system

全加算器と半加算器

英語表記:

全自動引上システム

英語表記:fully autommatic growing system

全室温洗浄プロセス

英語表記:total room temperature wet cleaning process

全展開処理

英語表記:flat operations

全反射蛍光X線分析

英語表記:Total Reflection X-ray Fluorescence

全反射蛍光X線分析法 TXRF TRXRF

英語表記:total reflection X-ray fluorescence analysis

全面基準 表面基準

英語表記:front side reference

塑性変形

英語表記:plastic deformation

疎水性

英語表記:hydrophobicity

疎水性表面

英語表記:hydrophobic surface

疎密形状差

英語表記:difference in profile between isolated and nested

疎密効果

英語表記:effects of pattern density

粗じん用エアフィルタ

英語表記:coarse particle air filter

粗研

英語表記:coarse grinding

粗粒

英語表記:grain grits macro grits

素材移動ストローク

英語表記:material lift travel

素子分離

英語表記:isolation

素子分離用絶縁膜

英語表記:isolation dielectrics

組み合わせ回路と順序回路

英語表記:

組成制御

英語表記:control of composition

阻止能

英語表記:stopping

双晶

英語表記:twin

双晶

英語表記:twin

層間絶縁膜

英語表記:ILD : Inter - Level Dielectric、 IMD : Inter-Metal Dielectric

層間絶縁膜

英語表記:inter-layer dielectrics

層間絶縁膜形成方法と特性

英語表記:ILD deposition and ILD characteristics

捜査式投影露光装置

英語表記:scanning projection aligner

挿抜機 インサータリムーバ ローダアンローダ

英語表記:inserter remover

早送り速度

英語表記:fast traverse

槽間移送時間

英語表記:bath-to-bath transport time

相関

英語表記:correlation

相関二重サンプリング CDS

英語表記:correlated double sampling

相互拡散

英語表記:interdiffusion

相対湿度

英語表記:relative humidity

相対速度

英語表記:relative velocity

総型方式面取り加工

英語表記:form chamfering

総合インデックススピード

英語表記:total index speed

総合タイミング精度 OTA

英語表記:overall timing accuracy

総量規制

英語表記:total quantity restriction

装置信頼性

英語表記:equipment reliability

装置整備性

英語表記:equipment maintainability

装置有用性

英語表記:equipment availability

走査ステップ式投影露光装置

英語表記:step-and-scan projection aligner

走査型オージェ電子顕微鏡 SAM

英語表記:scanning Auger electron microscope

走査型トンネル顕微鏡法 STM

英語表記:scanning tunneling microscopy

走査型電子顕微鏡 SEM

英語表記:scanning electron microscope

走査透過型電子顕微鏡 STEM

英語表記:scanning transmission electron microscope

騒音計

英語表記:noise meter

像面照度

英語表記:illumination intensity at image plane

増し張り

英語表記:blade retension

増幅回路

英語表記:amplifier

側壁スペーサ

英語表記:side-wall spacer

側壁保護膜

英語表記:sidewall passivation films

側壁保護膜

英語表記:Sidewall Protection Film

側壁保護膜

英語表記:sidewall protection film

速度オーバシュート

英語表記:velocity overshoot

多ビットメモリ

英語表記:Multiple Level Cell

多ビットメモリ 対応機能

英語表記:multi-bit memory application function

多極プラズマ スパッタリング装置

英語表記:thermoionic sputtring system

多極石英管型 プラズマCVD装置

英語表記:multi parallel plate electrode plasma enhanced CVD system

多結晶

英語表記:polycrystal

多結晶

英語表記:

多結晶SiCVD

英語表記:polycrystalline SiCVD

多結晶シリコン

英語表記:polycrystalline silicon

多結晶シリコン

英語表記:polycrystalline silicon

多重ビーム露光

英語表記:multi-beam exposure

多重干渉効果

英語表記:effects Of multiple interference

多重干渉効果 定在波効果

英語表記:multiple interference effect swing curve effect standing wave effect

多重描画

英語表記:multiphase printing

多層レジストプロセス

英語表記:multi-layer resist process

多層レジスト法

英語表記:multilayer resist method

多層配線

英語表記:Multi-level Interconnection

多層配線

英語表記:multi level interconnection

多層配線化

英語表記:multi-level interconnection

多層膜

英語表記:multilayer

多層膜プロセス

英語表記:multilayer process

多層膜ミラー

英語表記:multilayer mirror

多層膜ミラー光学系

英語表記:multilayer mirror optics

多槽浸漬式洗浄装置

英語表記:multibath wet cleaning equipment

多段アニール

英語表記:multi step annealing

多段熱処理

英語表記:multi steps annealing

多値化認識 2値化認識

英語表記:gray scale pattern recognition binary scale pattern recognition

太線

英語表記:thick wire heavy wire

太陽ギア

英語表記:sungear

太陽電池級多結晶シリコン:SOG-Si

英語表記:Solar Grade-Silicon

体積一定ルール

英語表記:volume constant rule

対向ターゲット型スパッタリング装置 FTS装置

英語表記:facing target sputtering system

対数正規グラフ 対数正規プロット

英語表記:log-normal graph log-normal plot

耐圧型インライン電気伝導度計

英語表記:in-line type electrical conductivity meter (with standing high pressure)

耐震設備

英語表記:earthquake resisting device

耐熱性

英語表記:thermal resistance

帯域溶融法 ゾーンメルティング法

英語表記:zone melting method

帯電電位

英語表記:charged electric potential

滞在時間効果

英語表記:effects of gas residence time

代替搬送

英語表記:alternative transportation

代表図形法

英語表記:representative figure method

台金

英語表記:core

台形フォーマット

英語表記:algorithm based on trapezoid

大ビーム電流

英語表記:large beam current

大気圧イオン化質量分析計

英語表記:atmospheric press pressure ionization mass

大型液晶ディスプレイ用露光装置

英語表記:aligner for large liquid crystal display substractes

大直径化

英語表記:large wafer diameter

大電流

英語表記:beam current

大電流 イオン注入装置

英語表記:high current ion implanter

大面積化

英語表記:enlargement Of the exposure field

脱気モジュール

英語表記:degas module

脱水べーク

英語表記:dehydration bake

脱水ベーク デハイドレーションベーク

英語表記:dehydration bake

脱炭酸塔

英語表記:decarbonator

単結晶

英語表記:Single Crystal

単結晶シリコン

英語表記:

単結晶成長機構

英語表記:mechanism of single crystal growth

炭素

英語表記:carbon

短チャネル効果

英語表記:Short Channel Effect

端面のそり

英語表記:ingot cutting face bow

弾道性伝導

英語表記:ballistic transport

断熱版

英語表記:heat insulation plate

断面均熱

英語表記:radial temperature uniformity

段差

英語表記:step height

段差緩和性

英語表記:step height reduction

地球温暖化

英語表記:global warming

置換型拡散

英語表記:substitutional diffusion

逐次サンプリング法 連続サンプリング法

英語表記:secquential sampling method

窒化酸化膜

英語表記:nitrided oxide

窒素

英語表記:nitrogen

窒素イオン注入

英語表記:N ion implantation

窒素ガス脱気装置

英語表記:nitrogen gas bubbling deaerator

窒素シール

英語表記:nitrogen seal

窒素添加

英語表記:nitrogen doping

中空糸型限外ろ過膜 キャピラリ型限外ろ過膜

英語表記:hollow fiber type ultrafiltration

中継ボード

英語表記:extension board

中心面

英語表記:medium surface

中性ビームエッチング

英語表記:neutral beam etching

中性プラズマ粒子エッチング

英語表記:

中性子照射ドーピング

英語表記:NTD:Neutron Transmutation Doping

中性子照射放射化分析

英語表記:neutron bombardment radioactive analysis

中性線ループ放電

英語表記:neutral roop discharge

中性能エアフィルタ

英語表記:medium efficiency particulate air filter

中電流 イオン注入装置

英語表記:medium current ion implanter

注入スピード 射出スピード

英語表記:transfer speed injection speed

注入圧力 射出圧力

英語表記:transfer pressure

注入室

英語表記:implant chamber process chamber target chamber

注入発光法 EL

英語表記:electro luminescence method

注入誘起ゲッタリング

英語表記:injection-induced gettering

超音波、メガソニック

英語表記:ultrtasomc, megasomc

超音波センサー終点検出法

英語表記:end point detection by ultrasonic sensor

超音波ホーン

英語表記:ultrasonic horn

超音波ワイヤボンディング 超音波ワイヤボンダ

英語表記:ultrasonic wire bonding ultrasonic wire bonder

超音波出力密度

英語表記:ultrasonic power density

超音波洗浄

英語表記:ultrasonic cleaning

超音波洗浄装置

英語表記:ultrasonic cleaning equipment

超音波探査装置

英語表記:scanning acoustic tomograph

超音波浮上搬送装置

英語表記:ultrasonic floating transportation

超音波風速計

英語表記:ultrasonic anemometer

超音波併用熱圧着ワイヤボンディング 超音波併用熱圧着ワイヤボンダ

英語表記:thermosonic wire bonding thermosonic wire bonder

超音波方式

英語表記:ultrasonic sensing method

超加速寿命試験

英語表記:super accelerating life test

超解像露光技術

英語表記:super¯resolution method' resolution enhancement technology

超高エネルギーイオン注入

英語表記:ultra-high energy ion 1mplantation

超高周波

英語表記:ultra high frequency

超高精度EB描画

英語表記:Super high accuracy EB writi mg

超純水

英語表記:ultrapure water

超純水

英語表記:de-ionized water

超純水製造装置 超純水装置

英語表記:ultrapure water system

超純水配管材

英語表記:piping materials for ultrapure water

超純水用帯電防止器

英語表記:antistatic charge equipment for ultrapure water

超熱ビーム法

英語表記:hyperthermal beam method

超微粉

英語表記:fine powder

長寸法精度

英語表記:placement accuracy

直接置換式洗浄装置

英語表記:direct displacement type cleaning equipment

直接描画

英語表記:direct writing

直流放電

英語表記:DC discharge

通信

英語表記:communication

通信プロトコル

英語表記:communication protocol

低エネルギーイオン注入

英語表記:low energy ion implantation

低圧プラズマ

英語表記:IOW pressure plasma

低圧遠隔 スパッタリング

英語表記:long throw sputtering

低圧紫外線酸化装置 TOC-UV

英語表記:ultraviolet oxidation unit with low pressure mercury lamp

低圧式吸着型ガス供給システム

英語表記:safety delivery system

低温エッチング

英語表記:low temperature etching

低温エピタキシャル成長

英語表記:low temperature epitaxial growth

低温液化ガスフィルタ

英語表記:cryogenic liquefled gas filter

低温測定機能

英語表記:cold testing function

低次元電子ガス

英語表記:Low dimensional electron gas

低速電子線回析法 LEED

英語表記:low energy electron diffraction method

低電圧化

英語表記:low voltage operation

低誘電率材料

英語表記:low dielectric materials

低誘電率層間絶縁膜

英語表記:low dielectric constant film, low-κfilm, low-ɛ film

低誘電率層間絶縁膜 low-k

英語表記:diamonnd CVD

低誘電率層間絶縁膜形成方法と特性

英語表記:low-E film deposition and film characteristics

低誘電率膜

英語表記:dielectric constant film

低誘電率膜

英語表記:low dielectric constant film

低溶存酸素純水

英語表記:low dissolved oxygen concentration water

停止精度

英語表記:stopping accuracy

定電流回路

英語表記:constant-current circuit

定盤自動修正機構

英語表記:automatic flatness controller

定盤揺動機構

英語表記:table oscillation

抵抗

英語表記:resistivity

抵抗温度係数

英語表記:temperature coemcient of resistance

抵抗加熱ヒータ

英語表記:resistance heating element

抵抗加熱真空蒸着装置

英語表記:resistance heating evaporation system

抵抗加熱法

英語表記:resistance heating method

抵抗加熱炉

英語表記:resistance heating furnace

抵抗率

英語表記:resistivity

抵抗率 比抵抗値

英語表記:resistivity

抵抗率計 比抵抗系

英語表記:resistivity meter

逓倍PLL

英語表記:clock multiplying PLL

鉄(Fe)

英語表記:iron

天井パネル

英語表記:ceiling panel

天井フィルタシステム

英語表記:ceiling filter system

天井軌道走行型搬送車 OHS OHT

英語表記:ceiling -track guided vehicle overhead -track guided vehicle overhead shuttle overhead hoist transport

添加不純物の増速拡散

英語表記:enhanced diffusion of dopant

添加不純物の表面酸化による増速拡散。酸化増速拡散

英語表記:oxidation enhanced diffusion of dopant, OED: Oxidation Enhanced Diffusion

添加不純物の偏析現象

英語表記:segregative phenomena of impurity doping

貼り合わせ

英語表記:wafer bonding

転位

英語表記:dislocation

転位

英語表記:dislocation

転位の運動速度

英語表記:velocity of dislocation motion

転位の固着

英語表記:locking of dislocation

転位クラスタ

英語表記:dislocation cluster

転位ループ

英語表記:dislocation loop

転位密度 EPD

英語表記:dislocation density etch pit density

転写ローラ

英語表記:offset cylinder

点欠陥

英語表記:point defect

点欠陥

英語表記:point defect

点欠陥の拡散係数

英語表記:fiffusion coefficient of point defect

点欠陥の凍結

英語表記:quenching of point defect

点欠陥試験

英語表記:point defect test

点欠陥導入熱処理

英語表記:thermal treatment injecting point defect

伝送変換装置

英語表記:transmission converter

伝導体

英語表記:Conduction band

電圧コントラスト 電位コントラスト

英語表記:voltage contrast potential contrast

電圧印加電圧測定 VSVM、VFVM

英語表記:voltage source voltage measurement

電圧印加電流測定 VSIM、VSM、VFIM、VFM

英語表記:voltage source (force)urrent

電圧制御発振器(VCO)

英語表記:Voltage Controlled Oscillator

電圧比較器(コンパレータ)

英語表記:comparator (comparator)

電位測定

英語表記:potential contrast

電解めっき

英語表記:electro plating

電解イオン水

英語表記:electrolytic ionized water

電解イオン水

英語表記:electrolytic ionized water electrolysis ionized water

電解イオン水製造装置

英語表記:electrolytic ionized water(EIW) production equipment

電解インプロセスドレッシング研削Elid 研削

英語表記:grinding with electrolytic inprocess dressing

電解研磨 EP管

英語表記:electro polishing

電解複合研磨 ECB研磨

英語表記:electro chemical buffing

電界イオン水洗浄

英語表記:electrolytic ionized water

電界処理

英語表記:electro deflssh

電界放射

英語表記:field emission

電気トーチ

英語表記:electric flame off

電気再生式イオン交換装置

英語表記:electrodeionization equipment continuous deionization

電気伝導

英語表記:electrical conduction

電気特性終点検出法

英語表記:end point detection by electric resistance

電気二重層

英語表記:electric double layer

電気炉アニール装置

英語表記:furnace annealer

電極材料

英語表記:electrode material

電源変動

英語表記:power supply fluctuation

電子

英語表記:

電子なだれ効果

英語表記:electron avalanche effect

電子の脱出深さ

英語表記:escape depth Of electron

電子サイクロトロン 共鳴 ECR

英語表記:electron cyclotron resonance

電子シェーディング効果

英語表記:electron shading effect

電子ビーム

英語表記:Electron Beam

電子ビーム アニール装置

英語表記:electron beam annealer

電子ビームセルマスク

英語表記:electron beam cell mask

電子ビームテストシステム 電子ビームプローバ

英語表記:final-wafer test post test

電子ビーム加熱真空 蒸着装置

英語表記:electron beam evaporation system

電子ビーム形状

英語表記:beam profile

電子ビーム露光によるマスク製作

英語表記:

電子ビーム露光装置

英語表記:electron beam exposure system

電子ピームリソグラフィ

英語表記:electron beam lithography

電子プローブ EPMA

英語表記:electron probe micro analysis

電子温度

英語表記:electronic temperature

電子光学鏡筒洗浄

英語表記:column cleaning, electric optical column cleaning

電子光学系

英語表記:electron optics

電子工業用薬品

英語表記:electronic chemicals

電子式調圧器

英語表記:electric autopressure regulator

電子銃

英語表記:electron gun

電子衝撃イオン化

英語表記:electronic bombard ionization

電子衝撃加熱蒸着

英語表記:electron beam evaporation

電子衝撃型

英語表記:electron bombardment X-ray SO urce

電子阻止能

英語表記:electronic stopping

電子励起脱離

英語表記:Electron Stimulated Desorption : ESD

電磁レンズ

英語表記:magnetic lens

電磁障害 EMI

英語表記:electro magnetic interference

電磁波測定器

英語表記:EMI locater electro-magnetic wave interference equipment

電着ブレード 電鋳ブレード

英語表記:electroformed diamond blade

電流ミラー回路

英語表記:current-mirror circuit

電流印加電圧測定 ISVM、ISM、IFVM、IFM

英語表記:current source

電流密度依存性グラフ

英語表記:dependence of life time on current density plot

塗布

英語表記:coating

塗布シリコン酸化膜

英語表記:SOG : Spin 0n Glass

塗布ムラ コメット スプラッシュバック カップ内ミスト

英語表記:coat defect comet splash back mist in bowl

塗布装置

英語表記:coater

砥粒

英語表記:abrasive grain

砥粒の分級

英語表記:abrasive classification

投影レンズ

英語表記:proJection lens

投影光学系

英語表記:projection optical system

投影飛程

英語表記:projected range

投影露光装置

英語表記:projection aligner

等倍露光

英語表記:proxnnity ion beam lithogra・ phy

等方性

英語表記:isotropic

等方性エッチング

英語表記:isotropic etching

統計的加熱

英語表記:statistical heating

透過型電子顕微鏡 TEM

英語表記:transmission electron microscope

透視変換

英語表記:Transformation

同期移動

英語表記:synchronized drive

同期抽出PLL

英語表記:clock recovery PLL

同時 スパッタリング装置

英語表記:co-sputtering system

同時測定機能 並列測定機能

英語表記:parallel test function

同軸マグネトロン スパッタリング装置

英語表記:coaxial magnetron sputtering system

同軸円筒型 プラズマCVD装置

英語表記:coaxial cylindrical plasma enhanced CVD system

同軸落射照明

英語表記:coaxial lighting

瞳フィルタ法

英語表記:pupil filter

英語表記:copper

銅(Cu)

英語表記:copper

銅配線

英語表記:Cu wiring

銅配線

英語表記:copper conductor

特殊な信号処理演算器

英語表記:special signal processing arithmetic logic unit

特殊な数値表現

英語表記:Special numerical value expression

特殊高圧ガス

英語表記:specified high pressure gas

特殊材料ガス 半導体製造用材料ガス

英語表記:specific material gas material gas for semiconductor fabrication

特殊材料ガス用パーティクルカウンタ

英語表記:special gases particle counter

特定フロン

英語表記:chloro fluoro carbon hydro chloro fluoro carbon

特定化学物質

英語表記:specific chemical substances legally designated

毒性ガス

英語表記:toxic gas

内周刃式切断機

英語表記:inner diameter saw

内部クロック周期

英語表記:internal clock period

内部応力

英語表記:internal stress

内部燃焼装置

英語表記:internal torch unit

内分泌かく乱化学物質環境ホルモン

英語表記:endocrine disruptors environmental hormones

捺印視認性

英語表記:marking visibility

軟X線照射除電装置

英語表記:soft-X-ray charge neutralizer

二酸化炭素消火設備

英語表記:carbon dioxide gas extinguishing system

二次イオン質量分析法 SIMS

英語表記:secondary ion mass spectroscopy

二次欠陥

英語表記:secondary defect

二次電子像観測機能

英語表記:secondary electron image

二次粒子

英語表記:Secondary particle

二重るつぼ法

英語表記:double crucible method

二重ジャケット 冷却水配管

英語表記:double jacket condensing water system double jacket cooling water piping system

二重ルツボ法

英語表記:double crucible method

二段RO装置

英語表記:double pass RO

二段といし 多段といし

英語表記:two-stage wheel multi-stage wheel

二面テーパコレット

英語表記:two sided channel collet

入室者管理

英語表記:access control

入出庫最適順番制御

英語表記:due in-out sequence control

入力応答速度

英語表記:input slew rate

認識範囲

英語表記:capture range

認識率

英語表記:hit rate recognition rate

熱CVD装置

英語表記:thermal CVD system

熱い電子

英語表記:hot electron

熱応力

英語表記:thermal stress

熱回収設備

英語表記:heat recovery system recuperator

熱拡散

英語表記:thermomigration

熱拡散

英語表記:Thermal diffusion

熱拡散過程

英語表記:diffusion process

熱拡散装置

英語表記:thermal diffusion furnace

熱源設備

英語表記:energy plant

熱交換器

英語表記:heat exchanger

熱酸化

英語表記:thermal oxidation

熱酸化装置

英語表記:thermal oxidation furnace

熱酸化不動態処理 CRP処理

英語表記:thermal oxidation passivation

熱酸化膜

英語表記:Thermal Oxide Film

熱酸化炉

英語表記:Thermal Oxidation Furnace

熱刺激電流法

英語表記:thermally stimulated current method

熱処理

英語表記:anneal

熱処理ウェーハ

英語表記:annealed wafer

熱処理炉

英語表記:heat treatment furnace

熱衝撃試験

英語表記:thermal shock test

熱線流速計

英語表記:hot wire anemometer

熱窒化

英語表記:thermal nitridation

熱的洗浄

英語表記:thermal cleaning

熱電変換素子

英語表記:thermoelectric transducer

熱風乾燥装置

英語表記:hot air (gas)drying equipment

熱膨張係数

英語表記:thermal expansion coefficient

熱履歴

英語表記:thermal budget

燃焼式排ガス処理装置

英語表記:exhaust gas abatement equipment by incineration process

濃縮水

英語表記:RO reject water

覗窓

英語表記:view port

波形デジタイザ

英語表記:waveform digitizer

波形モード

英語表記:waveform mode

波形測定機能

英語表記:waveform measurement

波動カットオフ

英語表記:vibration cut off

波面収差

英語表記:wave aberration

破壊応力

英語表記:fracture stress

破断モード

英語表記:shearing mode failure mode neck breaking second bond off

廃液自動切替システム

英語表記:waste fluid separator

廃水処理・回収装置

英語表記:waste water treatment and reclamation system

排ガス回収装置

英語表記:exhaust gas recovery system

排ガス処理装置

英語表記:exhaust gas treatment equipment

排気圧力制御

英語表記:exhaust pressure control

排水回収処理装置

英語表記:waste water reclamation system

排泥装置

英語表記:sludge removal equipment

配管接合技術

英語表記:pipeline jointing technique

配線金属

英語表記:wiring metal

配線信頼性

英語表記:interconnect reliability

配線信頼性

英語表記:reliability of interconnections

配線抵抗

英語表記:interconnect resistance

配線容量

英語表記:interconnect capacitance

秤量槽レス薬液供給装置

英語表記:measuring tank-less chemical supply system

白金

英語表記:platinum

白色LED

英語表記:

薄膜化

英語表記:approach for thin film

薄膜形成装置

英語表記:thin film deposition system

薄膜堆積法

英語表記:thin film deposition methods

発光分析

英語表記:optical emission spectroscopy

発振回路

英語表記:oscillator circuit

発生ライフタイム

英語表記:generation lifetime

発生再結合電流

英語表記:generation recombinatlon current

半影ボケ

英語表記:penumbral blur, blur

半乾式排ガス処理装置

英語表記:semi dry type exhaust gas abatement equipment

半導体

英語表記:

半導体級多結晶シリコン:EGーSi

英語表記:Electronic Grade-Silicon

半導体接着ウェーハ 貼り合わせウェーハ

英語表記:semiconductor bonding wafer

反応室 成長室 リアクタ

英語表記:reaction chamber deposition chamber reactor

反応性 スパッタリング装置

英語表記:reactive sputtering system

反応性イオン エッチング装置 RIE装置 反応性スパッタ エッチング装置

英語表記:reactive ion etching system RIE system reactive sputter etching system

反応性イオンエッチング装置

英語表記:reactive 10n etching equil)ment

反応性イオンビーム エッチング装置 RIBE装置

英語表記:reactive ion beam etching system RIBE system

反応性プラズマ過程

英語表記:reactive plasma process

反応性プラズマ計測

英語表記:Reactive plasma measurement

反応副生成物

英語表記:reaction byproducts

反応律速

英語表記:reaction controlled

反射高速電子線回析法 RHEED

英語表記:reflection high energy electron diffraction method

反射防止プロセス

英語表記:Anti-reflection process

反射防止膜

英語表記: anti-reflective coating

反射防止膜

英語表記:anti-reflective coat

搬送リードタイム

英語表記:transportation leadtime

搬送ロボット

英語表記:transport robot

搬送情報(管理)

英語表記:material movement information transportation information

搬送量 FROM/TO量

英語表記:transportation amount

英語表記:die plate

比研磨量・圧力比

英語表記:specific amount of stock removal

比摩耗量・圧力比

英語表記:specific amount of pad wear

比率移動

英語表記:ratio

非クロムエッチング

英語表記:non Cr etching

非一方向流型クリーンルーム 乱粒型クリーンルーム

英語表記:non-unidirectional flow clean room turbulent flow clean room

非鏡面ウェーハ

英語表記:non mirror wafer

非常用照明設備

英語表記:emergency light system

非接触給電方式

英語表記:non-contact power supply

非接触型テストシステム

英語表記:noncontact test system

非弾性散乱過程

英語表記:non elastic dispersron process

非定在波型超音波発生装置

英語表記:non-standing wave type ultrasonic generator

非同期テスト

英語表記:asynchronous test

非同期プロセッサ

英語表記:asynchronous processor

飛程

英語表記:range

微細構造内洗浄

英語表記:cleaning of fine patterned surface

微振動対策設備

英語表記:anti-vibration device

微分型電気移動度分析器 DMA 静電式エアロゾル分級器

英語表記:differential mobility analyzer

微粉

英語表記:powder macro grits

微粒子 パーティクル

英語表記:particulate small particle particle

微粒子衝撃

英語表記:particle scrubbing

微粒子数

英語表記:number of particles

微量イオン

英語表記:trace level ion

標準粒子発生器

英語表記:standard particle generator

標本化と量子化

英語表記:

表皮効果

英語表記:skin effect

表皮深さ

英語表記:skin depth

表面エキシトン

英語表記:surface exciton

表面ダングリングボンド

英語表記:surface dangling bond

表面パーティクル測定

英語表記:particle distribution measurement

表面プラズモン励起

英語表記:surface plasmon excitation

表面プロファイル計測終点検出法

英語表記:end point detection by surface profile mesurement

表面ポラりトン

英語表記:surface polariton

表面マイクロラフネス

英語表記:surface roughness

表面ラフネス散乱

英語表記:surface roughness scattering

表面汚染

英語表記:surface contamination

表面拡散

英語表記:surface diffusion

表面格子

英語表記:surface lattice

表面格子欠陥

英語表記:surface lattice defect

表面基準研磨

英語表記:polish reference to front surface of the water

表面現象

英語表記:surface phenomena

表面光起電位(SPV)法

英語表記:Surface Photo Voltage method

表面光起電力法 SPV

英語表記:surface photovoltaic method

表面構造

英語表記:Surface structure

表面再配列と緩和

英語表記:surface reconstruction and relaxation

表面清浄度

英語表記:surface cleanliness

表面粗さ

英語表記:surface nucro-roughness

表面張カ

英語表記:surface tension

表面電位

英語表記:surface potential

表面電気伝導

英語表記:surface electric conduction

表面濃度

英語表記:surface concentration

表面波プラズマ

英語表記:surface wave plasma

表面波共鳴現象

英語表記:surface wave resonance phenomenon

表面反応

英語表記:surface reaction

表面不溶化、難溶解層

英語表記:surface insolubility, insoluble layer

表面付着粒子測定機 表面欠陥測定機

英語表記:optical detector of particle on surfaces surface scanner

表面偏析

英語表記:surface segregation

表面保護テープ

英語表記:protection tape

描画ソフト

英語表記:control software of e-beam writer

描画方式

英語表記:exposure strategy

描画領域

英語表記:main field area

品質管理システム

英語表記:quality control system

不活性化絶縁膜

英語表記:passivasion dielectrics

不純物拡散

英語表記:Impurity Diffusion

不純物拡散

英語表記:impurity diffusion

不純物拡散

英語表記:Impurity diffusion

不純物原子

英語表記:impurity

不純物再分布

英語表記:impurity redistribution

不純物順位

英語表記:impurity level

不純物添加(ドーピング)

英語表記:impurity doping

不純物導入

英語表記:impurity doping

不純物濃度

英語表記:impurity concentration

不純物濃度依存酸化

英語表記:impurity density dependence oxidation

不純物半導体

英語表記:impurity semiconductor

不純物分布

英語表記:Impurity profile

不純物捕獲

英語表記:impurity trap

不織布

英語表記:unwoven fabric

不良マーク

英語表記:fail mark

不良回析メモリ フェイルメモリ

英語表記:failure analysis memory

付着速度

英語表記:deposition

付着粒子汚染

英語表記:surface contamination by particulate

浮上分離装置 加圧浮上装置

英語表記:floatation equipment

浮遊電位

英語表記:floating potential

負イオン

英語表記:negative

負荷回路

英語表記:load circuit

負荷電源ライン選択リレー

英語表記:load power supply selector

部分一括露光

英語表記:character projection

複数ステーション同期試験

英語表記:multi-station synchronous probe test

複葉回収 バッチ回収

英語表記:batch wafers retrieval

物理洗浄

英語表記:physical cleaning

物理的スパッタリング

英語表記:physical sputtering

物理的気相成長

英語表記:PVD : Physical Vapor Deposi・ tion

分画分子量

英語表記:cut-off molecular weight

分解せん断応力

英語表記:resolved shear stress

分解式排ガス処理装置

英語表記:exhaust gas abatement equipment by decomposition process

分散型プロセス入出力装置

英語表記:distributed process input output control equipment

分子線エピタキシー法

英語表記:Molecular Beam Epitaxy : MBE

分子線エピタキシャル成長装置

英語表記:molecular beam epitaxial growth system

分子量分散

英語表記:molecular weight dispersion

分析スリット

英語表記:resolving aperture

分析マグネット

英語表記:analyzing magnet

噴流式エッチング装置

英語表記:overflow cup etching system

平コレット フラットノズル

英語表記:flat collet flat nozzle

平均径

英語表記:mean diameter

平均自由行程

英語表記:mean free path

平行平板型

英語表記:parallel plate type

平行平板型RIE装置

英語表記:arallel plate reactive ion etching system

平行平板型 プラズマCVD装置

英語表記:diode parallel plate plasma enhanced CVD system

平行平板型エッチング装置

英語表記:parallel flat Plane type etching eqmpment

平衡偏析係数

英語表記:equilibrium segregation coefficient

平坦化

英語表記:Planarization

平坦化

英語表記:planarization

平坦化 プラナリゼーション

英語表記:planarization

平坦度 フラットネス

英語表記:flatness

平坦度(TTV)

英語表記:Total Thickness Variation

平板マグネトロン スパッタリング装置

英語表記:planar magnetron sputtering system

平面円筒研削盤

英語表記:surface & cylindrical grinding machine

平面円筒複合研削盤

英語表記:surface & cylindrical double(triple)head grinding machine

並列処理

英語表記:parallel processing

閉管法

英語表記:closed-tube method

壁との相互作用

英語表記:plasma¯wall interactions

偏向器

英語表記:deflector

偏向整定時間

英語表記:deflection settling time

偏向歪

英語表記:field distortion

偏心小円運動ポリシング装置

英語表記:eccentric small circular movement polishing system

偏析

英語表記:segregation

偏析誘起ゲッタリング

英語表記:segregation - induced gettering

変形照明

英語表記:modified illumination

変形照明 斜入射照明

英語表記:modified illumonation oblique incidence illunination

片面ポリシング機

英語表記:single side polishing machine

片面ラップ盤

英語表記:single side lapping machine

片面研磨装置

英語表記:single side polisher

保安フィルタ 安全フィルタ

英語表記:safety filter

保温筒

英語表記:pedestal

保管庫 クリーンストッカー

英語表記:stocker

捕獲準位

英語表記:trap level

歩留り イールド

英語表記:yield

補誤差関数分布

英語表記:complementary error function distribution

倣いマスタ

英語表記:copy master

倣い研削

英語表記:copy grinding

倣い方式面取り加工

英語表記:copy chamfering

放射光

英語表記:synchrotron radiation, synchrotron orbital radiation

放射線損傷

英語表記:radiation damage

放射率

英語表記:emissivity

放射率補正

英語表記:emissivity correction

方向性

英語表記:directional

方向性形状

英語表記:anisotropic profile

芳香族エーテル

英語表記:aromatic ethers

芳香族炭化水素

英語表記:aromatic hydrocarbon

膨潤

英語表記:swelling

防食剤

英語表記:corrosion inhibitors

防塵マスク

英語表記:clean mask dust free mask

防塵マット 粘着マット

英語表記:sticky mat

防塵靴

英語表記:clean shoes

防塵手袋

英語表記:clean glove dust free glove

防毒マスク

英語表記:gas mask

防爆型冷蔵庫

英語表記:explosion -proof refrigerator

魔鏡トポグラフィ

英語表記:magic mirror topography

魔鏡法

英語表記:magic mirror

埋め込み酸化膜

英語表記:buried oxide

埋め込み配線

英語表記:buried wiring

枚葉回収

英語表記:individual wafer retrieval

枚葉型

英語表記:single type

枚葉研磨

英語表記:single wafer polishing

枚葉式

英語表記:single wafer processing

枚葉式ポリシング機

英語表記:single wafer polishing machine

枚葉式研磨装置

英語表記:single wafer type polisher

枚葉式洗浄装置

英語表記:single wafer processing cleaner

枚葉洗浄

英語表記:single wafer cleaning

膜厚制御

英語表記:thickness control

膜厚測定法

英語表記:film thickness measurement

膜式前処理装置 膜除濁装置

英語表記:pretreatment equipment with membrance filter

膜式廃水処理装置

英語表記:waste water treatment equipment with membrane filter

膜脱気装置

英語表記:membrane deaerator

膜疲労特性

英語表記:fatigue property

未充填

英語表記:incomplete filling

密着性

英語表記:adhesion

密着性強化剤塗布 アドヒージョン処理 HMDS処理

英語表記:adhesion promoter coating vapor primer coating

密閉循環式冷却水設備

英語表記:closed circuit condensing water system closed circuit cooling water system

無機系

英語表記:Inorganic type

無欠陥領域

英語表記:denuded zone

無塵衣 クリーンルーム用衣服 防塵衣

英語表記:clean garments dust free garments

無停電電源装置 UPS

英語表記:uninterruptible power supply

無転位単結晶

英語表記:non-dislocation single crystal

無転移結晶

英語表記:dislocation free crystal

面圧逃げ

英語表記:surface pressure recess

面圧力分布測定

英語表記:surface pressure distribution measurement

面間均一性

英語表記:wafer to wafer non-uniformity

面欠陥

英語表記:plane defect

面取り

英語表記:bevel

面積欠陥試験

英語表記:area defect test

面積密度法

英語表記:pattern area density map method

面粗さ

英語表記:surface roughness

面内均一性

英語表記:within wafer non-uniformity

面内均一性 wiw

英語表記:within wafer

面湾曲

英語表記:curvature

模型装置

英語表記:horizontal type reactor system

目詰まり

英語表記:clogging

目抜け

英語表記:separate feeling

薬液再生装置

英語表記:chemical reprocessor

薬液収納部 ケミカルキャビネット

英語表記:chemical cabinet

薬液用フィルタ

英語表記:chemical filter

薬品供給配管

英語表記:chemical distribution piping

薬品自動希釈混合装置

英語表記:automatic chemical diluting and mixing equipment

薬品自動希釈混合装置

英語表記:automatic chemical diluting and (mixing) equipment

薬品自動供給装置

英語表記:automatic chemical supply(dispense) system

薬品注入装置 凝集用薬品注入装置

英語表記:chemicals feeding unit

薬品濃度計

英語表記:chemical concentration meter

薬品容器

英語表記:chemical container

有機SOG

英語表記:Spin On organic Glass

有機シリコン酸化膜

英語表記:organic silicon dielectrics

有機金属CVD装置 MOCVD装置 OMCVD装置

英語表記:vertical low pressure CVD system

有機金属気相エピタキシャル成長装置 MOVPE装置 OMVPE装置

英語表記:metal organic vapor phase epitaxial growth system MOVPE system organo-metalic VPE system

有機系

英語表記:0rganic type

有機高分子膜

英語表記:organic polymer

有機酸系スラリー

英語表記:organic acid slurry

有機物汚染

英語表記:organic contamination

有機物汚染

英語表記:organic contamination

有磁場マイクロ波プラズマ

英語表記:magnetic field microwave plasma

有転位化

英語表記:first dislocation generation

有転位結晶

英語表記:normal single crystal

有転位単結晶

英語表記:dislocation single crystal

誘引漏洩 誘引リーク

英語表記:induction leakage

誘起欠陥・損傷

英語表記:damage, defect

誘起歪

英語表記:distortion

誘電率

英語表記:dielectric constant

誘導結合プラズマエッチング装置

英語表記:induced combination plasma etching equipment

誘導結合プラズマエッチング装置

英語表記:inductively coupled plasma etching system

遊離と粒

英語表記:loose abrasive

遊離砥粒研磨

英語表記:loose abrasive polishing

融液

英語表記:liquid phase

融液 メルト

英語表記:melt

融液結晶成長(成長機構)

英語表記:liquid phase crystal growth

融解潜熱

英語表記:heat of fusion

融点

英語表記:melting point

予備整備

英語表記:preventive maintenance

容量センサー終点検出法

英語表記:end point detection by capacity sensor

揺動

英語表記:oscillation

溶液気化CVD

英語表記:vaporized solution source CVD

溶存酸素

英語表記:dissolved oxygen

溶存酸素計

英語表記:dissolved oxygen analyzer

溶融アルミナ

英語表記:fused alumina

陽極結合

英語表記:anode coupling

陽極酸化

英語表記:anodic oxidation

陽電子消滅

英語表記:positron annihilation

裏面デポ抑制

英語表記:exclusing backside deposition

裏面汚染転写

英語表記:backside contaminants transfer

裏面加工歪層

英語表記:backside damage layer

裏面基準 背面基準

英語表記:back side reference

裏面基準研磨

英語表記:polish reference to back surface of the wafer

裏面光沢度

英語表記:back surface luster

立軸回転テーブル形平面研削盤

英語表記:vertical spindle rotary table surface grinding machine

流動性CVD

英語表記:self-flow CVD

流量検出器

英語表記:flow sensor

硫酸過酸化水素水混合溶液

英語表記:sulfuric acid/ hydrogen peroxide mixture

硫酸過酸化水素洗浄 SPM洗浄 ピラニア洗浄

英語表記:sulfuric acid hydrogen peroxide cleaning SPM Cleaning piranha cleaning

硫酸再生装置

英語表記:sulfuric acid reprocessor

粒子静電電荷量測定器

英語表記:electrostatic charge meter for particle

粒状活性炭

英語表記:granular activated carbon

粒状多結晶シリコン

英語表記:grain type poly-crystal silicon

粒度

英語表記:grain size grits

粒度分布

英語表記:particle-size distribution

両テレセントリックレンズ

英語表記:double telecentricity lens

両極性拡散

英語表記:two Poles diffusion

両面ポリシング機

英語表記:double side polishing machine

両面ラップ盤

英語表記:double side lapping machine

両面研削盤

英語表記:double head grinding machine

両面研磨

英語表記:double side polishing

両面研磨装置

英語表記:double side polisher

両面露光装置

英語表記:double sided aligner

量子ドット素子

英語表記:

量子化誤差

英語表記:digitized error

輪帯照明

英語表記:annular illumination

累積加算

英語表記:accumulate

冷却チラー

英語表記:cooling chiller

冷却水管理

英語表記:cooling water control

冷却水設備

英語表記:condensing waste system cooling water system

冷却塔 クーリングタワー

英語表記:cooling tower

励起

英語表記:excitation

連続アニール

英語表記:multi cycle annealing

連続チャージCZ装置

英語表記:continuously charged CZ equipment

連続チャージ法

英語表記:continuously charged Czochralski method

連続チャージ法 連続溶融法

英語表記:continuously charged CZ method

連続フェイルチェック機能

英語表記:continuous failure check function

露光

英語表記:exposure

露光機差

英語表記:pattern difference between exposure tools

露光後ベーク PEB 定在波除去ベーク

英語表記:post-exposure-bake

露光時間

英語表記:exposure time

露光波長

英語表記:exposure wavelength

露光雰囲気

英語表記:exposure environment

露光方式

英語表記:exposure method

露光余裕度

英語表記:exposure latitude

露光領域

英語表記:Image field

露店温度検出器

英語表記:dew point temperature sensor

露店計

英語表記:dew point meter

漏液センサ

英語表記:liquid spill sensor

漏水検出器

英語表記:water leakage sensor

論理回路とメモリ回路

英語表記:

論理合成

英語表記:

歪効果による移動度向上

英語表記:

劈開

英語表記:cleavage

劈開面

英語表記:cleavage plane

濾過機

英語表記:filter

輻射シールド

英語表記:radiation shield

辷り面

英語表記:slip plane

閾値電圧:Vth

英語表記:Threshold Voltage