0 の半導体用語

CMP工程の欠陥評価手法

英語表記:defect evaluation method of CMP process

Ir、IrO2

英語表記:iridium, iridium dioxide

RIEダメージ

英語表記:Reactive Ion Etching damage

Siノジュール

英語表記:Si nodule

1探針法

英語表記:one-point probe method

2パステスト

英語表記:two-pass testing double insertion testing

2ボート装置

英語表記:two boat system

2次イオン質量分析法

英語表記:Secondary Ion Mass Spectrometry

2床3塔型純水装置 2B3T型純水装置

英語表記:two bed three tower deionizing equipment two bed three tower demineralizing equipment

2進表現

英語表記:binary code expression

3D-NANDフラッシュメモリ

英語表記:

3Dグラフィックス用LSI

英語表記:LSI for three Dimension graphics

3点基準

英語表記:three points reference

4探針法

英語表記:four-point probe method

A/D変換器

英語表記:A/D converter

A/D変換器

英語表記:A/D Converter

ABCパラメータ

英語表記:ABC parameter

ACF 異方性導電膜

英語表記:anisotropic conductive film

ACパラメトリックテスト ACテスト

英語表記:AC parametric test AC test

AC特性

英語表記:

AD-DA変換機

英語表記:

AFM

英語表記:atomic force microscope

AGA EGA

英語表記:advanced global alignment enhanced global alignment

AGV 自走型搬送車

英語表記:automatic guided vehicle

ALC アルゴリズムコントローラ

英語表記:algorithm controller

ALD(原子層堆積)

英語表記:Atomic Layer Deposition

APM洗浄

英語表記:ammonia-hydrogen peroxide mixture cleaning

ASIC

英語表記:application specific integration circuit

ASIC

英語表記:

ASSP

英語表記:Application Specific Standard Product

ATE テスタ

英語表記:automatic test equipment test system

ATPG

英語表記:automatic test program generator

ATスピードテスト

英語表記:at speed test

AWG 波形シンセサイザ

英語表記:arbitrary wave form generator

AlN基板(窒化アルミニウム基板)

英語表記:aluminum nitride substrate

Alリフロー

英語表記:Al reflow

Al高圧リフロー

英語表記:Al high pressure reflow filling

A欠陥

英語表記:A-defect

BBレシオ

英語表記:Book-to-Bill Ratio

BESOI

英語表記:Bond Etch SOL

BGA

英語表記:ball grid array

BGA

英語表記:Ball Grid Array

BGA(Ball Grid Array)の組立プロセス

英語表記:assembly process of BGA

BIST(ビスト)

英語表記:Built in Self Test

BMD

英語表記:Bulk Microdefect

BPSGリフロー

英語表記:reflow of boro phospho silicate glass

BiCMOS

英語表記:Bipolar Complementary MOS

C-V法

英語表記:Capacitance-Voltage method

C-t法

英語表記:C-t Method

CAD

英語表記:Computer Aided Design

CCD

英語表記:Charge Coupled Device

CEマーキング

英語表記:CE marking

CIM

英語表記:computer integrated manufacturing

CIMアプリケーションフレームワーク

英語表記:CIM application frame work

CMOS

英語表記:

CMOS

英語表記:complementary metal oxide semiconductor

CMOSの構造

英語表記:Complementary Metal Oxide Semiconductor

CMOSイメージセンサ

英語表記:

CMP

英語表記:Chemical Mechanical Polishing

CMP

英語表記:chemical Mechanical Polishing

CMP

英語表記:chemical mechanical polishing chemical mechanical planarization

CMP (化学的機械研磨)

英語表記:Chemical Mechanical Polishing

CMP後洗浄

英語表記:cleaning after CMP

CMP後洗浄

英語表記:post-CMP cleaning

CMP装置

英語表記:CMP system

CMP廃水処理装置

英語表記:CMP waste water treatment equipment

CNC 凝縮核測定器

英語表記:condensation nucleus counter

CO2バブラ

英語表記:CO2bubbler

COB

英語表記:Chip On Board

COB COG

英語表記:chip on board ship on glass

COO

英語表記:Cost Of Ownership

COO

英語表記:cost of ownership

COO

英語表記:cost of ownership

COP

英語表記:crystal originated particle

COP

英語表記:crystal originated particle

CPU、MPU

英語表記:Central Processing Unit、Microprocessing Unit

CSP

英語表記:Chip Size Package, Chip Scale Package

CSP

英語表記:chip size package

CVD

英語表記:chemical vapor deposition

CVD装置

英語表記:chemical vapor deposition system

CVD有機シリコン酸化膜

英語表記:CVD organic silicon oxide

CZ結晶

英語表記:CZ crystal

CZ法

英語表記:Czochralski method

CZ法Si単結晶育成装置

英語表記:CZ method Si single crystal growth equipment

CZ法(チョクラルスキ法)

英語表記:Czochralski Method

Cat CVD装置 触媒CVD装置

英語表記:catalyst CVD system

Crマスク

英語表記:Cr on glass mask

Cu膜めっき

英語表記:copper electrolytic, electroless deposition

D/A 変換器

英語表記:D/A converter

D/A変換器

英語表記:D/A Converter

DART

英語表記:distribution analysis in realtime

DCパラメトリックテスト DCテスト

英語表記:DC parametric test DC test

DCパラメトリックテストシステム

英語表記:DC parametric test system

DC測定ユニット

英語表記:DC mesurement unit

DFE

英語表記:design for environment

DFT

英語表記:Design for Testability

DGS

英語表記:device ground sence

DHF洗浄

英語表記:dolute hydrogen fluoride cleaning

DIP

英語表記:Dual In-line Package

DLTS

英語表記:deep level transient spectroscopy

DLTS

英語表記:Deep Level Transient Spectroscopy

DOP粒子 DOP

英語表記:DOP particle dioctyl phthalate particle

DOS粒子 DOS

英語表記:DOS particle dioctyl sebacate particle

DOWN TIME

英語表記:down time

DRAM

英語表記:Dynamic Random Access Memory

DRAM

英語表記:dynamic random access memory

DSA

英語表記:Directed Self Align

DSP

英語表記:Digital Signal Processor

DSP デジタルシグナルプロセッサ

英語表記:digital signal processor

DUT

英語表記:device under test

DUTボード ソケットボード テストボード ロードボード パフォーマンスボード フィクスチャボード マザーボード DUTーI/F DIB

英語表記:DUT boardsocket boardtest boardload boardperformance boardfixture boardmother boardDUT interfacedevice interface board

DUT電源 DPS バイアス電源

英語表記:DUT power supply device power supply

DWウェーハ

英語表記:diffused wafer

Dashエッチング

英語表記:Dash etching

Deal-Groveモデル

英語表記:Deal-Grove model

Double Patterning(1)

英語表記:Double Patterning

Double Patterning(2)

英語表記:Double Patterning

Dynamic-SIMS

英語表記:Dynamic-Secondary Ion Mass Spectroscopy

D欠陥

英語表記:D-defect

EBテスタ法

英語表記:EB (Electron Beam) tester method

EBデータ変換

英語表記:EB data converston

ECR エッチング装置

英語表記:electron cyclotron resonance etching system

ECR スパッタリング装置

英語表記:electron coupling resonance sputtering system

ECR プラズマCVD装置

英語表記:electron coupling resonance plasma enhanced CVD system

ECRエッチング装置

英語表記:Electron Cyclotron Resonance Plasma Etcher

ECRプラズマCVD

英語表記:Electron Cyclotron Resonance plasma CVD

EDTA

英語表記:ethylendiamin tetra acetic acid

EEMポリシング

英語表記:elastic emmision machining

ELID研削

英語表記:electrolytic in process dressing grinding

ELTRAN

英語表記:Epitaxial Layer Transfer

EMS 環境マネジメントシステム

英語表記:enronmental management system

EPD

英語表記:Htch Pit Density

ES

英語表記:Engineering Sample

ESD

英語表記:Electro-Static Discharge, Electro-Static Destroy

ESD保護

英語表記:electrostatic discharge protection

ESH EHS

英語表記:environment safety and health environmental health and safety

EUVの光源

英語表記:Extremly Ultra Violet

EUV露光リソグラフィ

英語表記:Extremly Ultra Violet Lithography

FA対応バーンイン装置

英語表記:corresponding burn -in system of factory automation

FDーSOI(完全空乏型SOI)

英語表記:Fully Depleted Silicon on Insulator

FET(電界効果トランジスタ)

英語表記:Field Effect Transistor

FIB法

英語表記:FIB (Focused Ion Beam) method

FPD

英語表記:flow pattern defect

FPD GFLD GF3D

英語表記:focal plane deviation gloval front least-squares deviation gloval front three points deviation

FPGA

英語表記:Field Programmable Gate Array

FPM洗浄

英語表記:hydrofluoric acid-hydrogen peroxide mixture cleaning

FQA 平坦度適用領域

英語表記:fixed quality

FRー4

英語表記:FR-4 Fiber Reinforced-4

FSG

英語表記:fluorine doped silicate glass

FT-IR (フーリエ変換赤外分光法)

英語表記:Fourie Transform Infrared spectroscopy

FUSI

英語表記:Fully Silicided

FZ結晶

英語表記:FZ crystal

FZ装置

英語表記:FZ equipment

FZ法

英語表記:Floating Zone method

FeRAM構造

英語表記:FeRAM structure

FinFET(Tri Gate MOS)

英語表記:

GBIR TTV

英語表記:global backside ideal range total thickness variation

GEM

英語表記:generic equipment model

GEM

英語表記:Generic Equipment Model

GO/NO-GO試験 PASS/FAIL試験

英語表記:GO/NO-GO testPASS/FAIL test

HALO/ポケット

英語表記:HALO/ pocket

HAST プレッシャクッカ

英語表記:highly accelerated temperature and humidity stress test pressure cooker

HAST(高温高湿ストレス試験)

英語表記:Highly Accelerated Stress Test

HDL

英語表記:Hardware Description Language

HDP-CVD

英語表記:high density plasma CVD

HEPAフィルタ

英語表記:high efficiency particulate air filter HEPA filter

HFキット

英語表記:High Frequency kit

HF治具

英語表記:high frequency test head interface

HF蒸気洗浄装置

英語表記:HF vapor cleaninge equipmen

HPM洗浄

英語表記:hydrochloric acid-hydrogen peroxide mixture cleaning

HSG

英語表記:hemi spherical grained

HSMS

英語表記:high speed SECS message service

HSMS

英語表記:High-speed SECS Message Services

HSQ

英語表記:Hydrogensilsesqunoxane

Half pitchとNode

英語表記:

High-k膜(高誘電率膜)

英語表記:

ICP-MS

英語表記:inductively coupled plasma mass spectrometer

ICTS

英語表記:Isothermal Capacitance Transient Spectroscopy

ICとLSI

英語表記:

ICの機能試験

英語表記:

IC内部回路

英語表記:

IDDQ試験 静止電源電流試験

英語表記:IDDQ test quiescent power supply current test

IDM

英語表記:Integrated Device Manufacturer

IDブレード

英語表記:inner diameter blade

IGBT

英語表記:Insulated Gate Bipolar Transistor

IMEC

英語表記:Interuniversity MicroelectronicsCenter

IMS基板(金属系絶縁基板)

英語表記:Insulated Metal Substrate

IPAべーパ乾燥

英語表記:IPA Vapor/Dry

IPAマランゴニ乾燥

英語表記:IPA marangoni drying

IPA回収・再生装置

英語表記:isopropyl alcohol reprocessor

IPA乾燥

英語表記:IPA vapor drying

IPA乾燥

英語表記:isopropyl alcohol drying

IPA蒸気乾燥

英語表記:IPA vapor drying

IPVD

英語表記:ionized physical vapor deposition

IPプロバイダ

英語表記:Intellectual Property (IP)Provider

IRLAS(赤外半導体レーザ吸収分光)

英語表記:infrared diode laser absorption spectroscopy

ISSCC

英語表記:International Solid-State Circuits Conference

ITOX

英語表記:internal thermal oxidation

International SEMATECH

英語表記:International SEMATECH

I/Oピン ドライバ/コンパレータ

英語表記:IOpindriver/comparator

I/O切り換え時間

英語表記:I/Oswitching transition

I/Q位相エラー測定

英語表記:I/Q phase error measurement

JEITA

英語表記:Japan Electronics antd Information Technology Industries Association

JPEGLSI

英語表記:JPEGLSI

KGD

英語表記:known good die

LAN

英語表記:local area network

LCA

英語表記:life cycle assessment

LCCO₂

英語表記:life cycle CO₂

LDD

英語表記:Lightly Doped Drain

LED

英語表記:Light Emitting Diode

LER(ライン エッジ ラフネス)

英語表記:

LOC

英語表記:Lead On Chip

LOCOS

英語表記:local oxidation of silicon

LOG

英語表記:lead on chip

LPDモード(Light Point Defect)面の粗さ

英語表記:roughness by LPD mode

LSTD

英語表記:Laser Scattering Tomography Defect

LSTD

英語表記:laser scattering tomograply defect

LTV

英語表記:local thickness variation

Law-k膜

英語表記:

Linear Parabolicモデル

英語表記:Linear Parabolic model

MCBF

英語表記:mean cycle between failure

MCL

英語表記:metal contamination level

MCM-C

英語表記:Multi Chip Module Cofired ceramics

MCM-D

英語表記:Multi Chip Module Deposited dielectric

MCM-L

英語表記:Multi Chip Module organic Laminates

MCM(マルチチップモジュール)

英語表記:Multi Chip Module

MCZ結晶引き上げ装置

英語表記:MCZ crystal growth equipment

MCZ法

英語表記:magnetic field applied Czochralski method

MCZ法

英語表記:Magnetic Field Applied CZ法

MOCVD

英語表記:metal organic CVD

MOS FET

英語表記:Metal Oxide Semiconductor Field Effect Transistor

MPU

英語表記:micro processor unit

MRAM(1)

英語表記:MagnetoresistiveRandom Access Memory

MRAM(2) (STT-MRAM)

英語表記:

MSDS

英語表記:material safety

MTBF

英語表記:mean time between failure

MTTR

英語表記:mean time to repair

MWBA

英語表記:mean wafers between asist

MWBF

英語表記:mean wafers between failure

Mixed Signal LSI

英語表記:

Mアルカリ度 酸消費量

英語表記:M-alkalinity alkalinity

N20窒化

英語表記:Nitrous Oxide nitridation

N2O窒化

英語表記:N2O nitridation of silicon oxide

NAND

英語表記:

NANDフラッシュメモリ

英語表記:

NA 開口数

英語表記:numerical aperture

NC制御面取装置

英語表記:NC-control chamfering machine

NDウェーハ

英語表記:neutro transmutation dopingwafer

NEDIA

英語表記:Nippon Electronic Device Industry Association

NH3 窒化

英語表記:ammnium nitridation

NOR

英語表記:

NO酸窒化

英語表記:NO nitridation of silicon oxide

NO窒化

英語表記:Nitric Oxide nitridation

N型半導体とP型半導体

英語表記:

OBIC法

英語表記:OBIC : Optical Beam Induced Current

OBIRCH法

英語表記:OBIRCH method

OCR

英語表記:optical code reader

OC曲線

英語表記:Operating Characteristic curve

ODブレード

英語表記:outer diameter blade

OF加工

英語表記:Orientation Flat marking

OH基

英語表記:OH group

OPP

英語表記:Optical Precipitate Profiler

OSAT

英語表記:Outsourced Semiconductor Assembly & Test

OSF

英語表記:oxidation induced stacking fault

Overlay

英語表記:Overlay

PACE

英語表記:Plasma Assisted Chemical Etching

PBS Poly-silicon Back Seal

英語表記:PBS Poly-silicon Back Seal

PCB

英語表記:printed circuit board

PCT(プレッシャクッカー試験)

英語表記:Pressure Cooker Test

PDC

英語表記:PersonalDigitalCellulartelecommunicationsystem

PFCガス

英語表記:PFC (perfluoro-compound)gas

PGA

英語表記:Pin Grid Array

PGA(Pin Grid Array)の組立プロセス

英語表記:assembly process for PGA

PID温度制御

英語表記:PID temperature control

PIII

英語表記:Plasma Imersion Ion plantation

PLCC

英語表記:Plastic Lead Chip Carrier

PLL

英語表記:Phase Locked Loop

PLLの仕様

英語表記:PLL specifications

PPMU

英語表記:per pin measurement unit

PPS

英語表記:per pin source

PRAM

英語表記:Phase Change RAM

PRTR 環境汚染物質排出移動登録

英語表記:pollutant release and transfer register

PSA

英語表記:pressure swing adsorption

PSG

英語表記:Phosphorous Silicate Glass

PSL粒子 標準粒子

英語表記:polystylene latex particle standard particle

PUA

英語表記:percent usable area

PVAスポンジ

英語表記:poly vinyl alcohol sponge

PZT系強誘電体

英語表記:PZT family ferroelectric

Porous Law-k膜

英語表記:

Pt

英語表記:platinum

P/n測定器

英語表記:P/n type measurement system

P偏光 ブルースター角入射測定方 PPB

英語表記:infrared absorption spectroscopy with P-polarized radiation at Brewster angle

QFP

英語表記:Quad Flat Package

QFP

英語表記:quad flat package

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 1 e M a s s Spectrometer

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 3 e M a s s Spectrometer

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 2 e M a s s Spectrometer

QMS (四重極質量分析)

英語表記:Q u a d r Ⅱ 0 0 4 e M a s s Spectrometer

R-SF

英語表記:Ring Stacking Fault

RAM

英語表記:reliability availability maintainability

RCA洗浄

英語表記:RCA Cleaning

RCA洗浄

英語表記:RCA Cleaning

RCA洗浄法

英語表記:RCA clean

RC線路

英語表記:RC transmission line

RC遅延

英語表記:RC delay time

RF型加速器 RFQ型加速器

英語表記:radio frequency linac(linear accelerator) radio frequency quadrapole accelerator

RIBE

英語表記:Reactive Ion Beam Etching

RIE

英語表記:Reactive Ion Etching

RIE(反応性イオンエッチング)

英語表記:Reactive Ion Etching

ROMテストデータメモリ データメモリ

英語表記:ROM test data memory data memory

RPT

英語表記:Raw Process Time

RTA(高温短時間アニール)

英語表記:Rapid Thermal Anneal

RTL

英語表記:Resister Transfer Level

RTP

英語表記:Rapid Thermal Process

RTP

英語表記:rapid thermal process

RTP酸化

英語表記:oxidation by Rapid Thermal Processmg

RTP酸化

英語表記:oxidation by Rapid Thermal Processmg

ReRAM

英語表記:Resistive Random Access Memory

Ru、Ru0 2

英語表記:ruthenium, ruthenium dioxide

SACエッチング

英語表記:self-aligned contact etching

SBT系強誘電体

英語表記:SBT family ferroelectric

SC1

英語表記:Standard Clean 1

SC2

英語表記:Standard Clean 2

SCALPEL

英語表記:Scattering with Angular Limitation in Projection Electron-beam Lithography

SCM(サプライチェーン管理)

英語表記:Supply Chain Management

SDI FI

英語表記:silt density index fouling index

SEAJ

英語表記:Semiconductor Equipment Association of Japan

SECS

英語表記:SEMI Equipment Communications Standard

SECS

英語表記:semiconductor equipment community standard

SEMI

英語表記:Semiconductor Equipment and Materials Institute

SEMI S2

英語表記:SEMI S2

SEMI S8

英語表記:SEMI S8

SEMIスタンダード

英語表記:semi standards

SEM法

英語表記:SEM : Scanning Electron Microscopy

SIMOX

英語表記:separartion by implanted oxygen

SIMOX

英語表記:separartion by implanted oxygen

SIMOX SOIウェーハ

英語表記:separation by implantaion of oxygen SOI wafer

SMD(表面欠陥)

英語表記:Surface Micro Defect

SMIF

英語表記:standard mechanical interface

SOD塗布

英語表記:SOD塗布

SOG

英語表記:spin-on-glass

SOI

英語表記:Silicon on Insulator

SOI

英語表記:silicon on insulator

SOI技術

英語表記:Silicon On Insulator Technology

SOI結晶

英語表記:Silicon on Insulator

SOP/SOJ

英語表記:Small Outline Package/ Small Outline J-leaded package

SOS

英語表記:Silicon On Sapphire

SPM洗浄

英語表記:sulfuric acid-hydrogen peroxide mixture cleaning

SPM法

英語表記:SPM method

SRAM

英語表記:Static Random Access Memory

SR露光装置 SOR露光装置

英語表記:synchtionrotron radiation

SSIS

英語表記:Society of Semiconductor Industry Specialists

STA

英語表記:Static Timing Analysis

STARC

英語表記:Semiconductor Technology Academic Research Center

STCセル構造

英語表記:STC cell structure

STI

英語表記:Shallow Trench Isolation

STIR

英語表記:site total indication reading

STIエッチング

英語表記:STI etching

Schmid因子

英語表記:Schmid factor

Seccoエッチング

英語表記:Secco etching

Si/Al界面

英語表記:Si/Al interface

Sirtl エッチング

英語表記:Sirtle etching

Siアイランド

英語表記:Si island

Si異方性エッチング

英語表記:Si anisotropic etching

Si高抵抗層析出

英語表記:Si precipitates with high resistance

Si酸化

英語表記:Silicon Oxidation

Si酸窒化

英語表記:nitridation of Silicon oxide

Si窒化

英語表記:Silicon nitridation

Sパラメータ

英語表記:S parameter

Sボンド S字ボンド

英語表記:S shape bond

T/F(トリムアンドフォーム)

英語表記:trim and form

T/F金型

英語表記:Trimming and Forming die

T/F装置

英語表記:Trimming and Forming equipment

TABテープ

英語表記:Tape Automated Bonding tape

TAT

英語表記:turn-around-time

TCP

英語表記:Tape Carrier Package

TCP/IP

英語表記:Transmission Control Protocol/Internet Protocol

TCT(温度サイクル試験)

英語表記:Temperature Cycling Test

TDDB

英語表記:Time Dependent Dielectric Breakdown

TDDB試験 酸化膜経時破壊試験

英語表記:time dependent dielectric breakdown

TDR キャリブレーション

英語表記:time domain refractometry calibration

TDS 全蒸発残留物

英語表記: total dissolved solid

TED BED

英語表記:transient enhanced diffusion boron enhanced diffusion

TEG

英語表記:test elementary group

TEG

英語表記:Test Element Group: TEG

TEGを用いた信頼性試験

英語表記:reliability test using TEG (Test Element Group)

TEM法

英語表記:TEM: Transmission Electron Microscopy

TEOS

英語表記:tetra ethoxy silane

TEOS -03 CVD

英語表記:TEOSー03 CVD

TEOS-O₃ CVD

英語表記:TEOS-O3 atmospheric pressure CVD

THB(高温高湿バイアス試験)

英語表記:Temperature Humidity Bias test

THB試験

英語表記:THB test

TIR

英語表記:Total Indicator Reading

TIR GFLR GF3R

英語表記:total indicator reading gloval front least-squares range gloval front three points range

TMAH

英語表記:tetramethyl ammonium hydroxide

TMP

英語表記:tester management processor

TOC 全有機炭素

英語表記:total organic carbon

TOC計 全有機炭素計

英語表記:total organic carbon analyzer

TOX 全有機ハロゲン化合物

英語表記:total organic halogen

TTLアライメント

英語表記:through-the-lens alignment

TTLオートフォーカス

英語表記:through-the-lens auto focusing

TTRアライメント

英語表記:through-the-reticle alignment

TTV

英語表記:total thickness variation

Ti制御 Tiモニタ ジャンクション温度制御

英語表記:Ti control Ti monitor junction temperature controll

ULPAフィルタ

英語表記:ultra low penetration air filter ULPA filter

UNIBOND

英語表記:UNIBOND

UP TIME

英語表記:up time

UPH

英語表記:unit per hour

UVべーク

英語表記:UV bake

UVキュア

英語表記:UV cure

UVドライ酸化

英語表記:UV dry oxidation

UV乾燥機

英語表記:UV oven

UV照射装置

英語表記:UV irradiatiion equipment

UV洗浄

英語表記:ultra-violet light cleaning

VSWR測定

英語表記:VSWR measurement

Voronkov

英語表記:Voronkov

Vth

英語表記:threshold voltage

Vt差リファレンス

英語表記:reference voltage source based on Vt difference

V/Iソース

英語表記:V/I source

Vシリーズモデル

英語表記:Vseries MODEM

WAN

英語表記:wide area network

WIP

英語表記:work in process

WIPトラッキング

英語表記:WIP tracking

WSA

英語表記:wafer surface analysis

Wrightエッチング

英語表記:Wright etching

X-Yステージ X-Yテーブル

英語表記:X-Y stage X-Y table

X-Y軸位置決め精度

英語表記:X-Y axis positioning accuracy

XYコーディネータ

英語表記:XY coordinator

XYステージ

英語表記:XY stage

X線マスク

英語表記:X-ray mask

X線リソグラフィ

英語表記:X-ray lithography

X線吸収端微細構造(XANES)

英語表記:X-ray Absorption Near-Edge Structure (XANES)

X線吸収微細構造(XAFS)

英語表記:X-ray absorption fine structure (XAFS)

X線源

英語表記:X—ray source

X線光電子分光法

英語表記:XPS: X-ray Photoelectron Spectroscopy

X線光電子分光法 XPS

英語表記:X-ray photoelectron spectroscopy

X線照射ダメージ

英語表記:X-ray irradiation damage

X線露光装置

英語表記:X-ray aligner

YAGレーザ

英語表記:YAG laser

Z-θステージ

英語表記:Z-θstage

Zステージ

英語表記:Z stage

Z軸コントロール精度

英語表記:Z axis positioning accuracy

inーsitu

英語表記:in-situ

n型半導体

英語表記:n-type semiconductor

pH測定

英語表記:pH measurement

pH調整剤注入装置

英語表記:pH adjustment equipment

pH調整装置

英語表記:pH control equipment

p型半導体

英語表記:p-type semiconductor

swp (表面波プラズマ)

英語表記:Surface Wave Plasma

tr ts

英語表記:rise time fall time

van der Pauw法

英語表記:van der Pauw method

2極スパッタリング装置

英語表記:diode sputtering system

X線トポグラフ法 XRT

英語表記:X-ray topography

X線回析法

英語表記:X-ray diffractometry

X線検査装置

英語表記:X-ray inspection equipment

X線透視法

英語表記:radiographic technique

i型半導体 真性半導体

英語表記:i-type semiconductor

うねり

英語表記:waviness

じょ限量

英語表記:allowable concentration

すべり系、すべり面

英語表記:slip system、slip planc

そり

英語表記:warp

そり

英語表記:sori, bow, warp

そり

英語表記:sori

そり制御装置

英語表記:sori control system

たれ

英語表記:wire sagging

といし軸

英語表記:wheel spindle

と粒

英語表記:abrasive grains

と粒率

英語表記:grain volume percentage

ならし成形

英語表記:mold conditioning process

ぬれ性

英語表記:wettability

はがれ 圧着はがれ

英語表記:peel off bond lift off non stick

はんだめっき

英語表記:solder plating

はんだディップ

英語表記:solder dipping

はんだディップ装置 

英語表記:solder dipping equipment

はんだボール

英語表記:solder ball

はんだボール搭載装置

英語表記:solder ball mounter

はんだメッキ装置

英語表記:solder plating

はんだ材料

英語表記:Solder Material

はんだ浸し試験装置

英語表記:solder dip test system

はんだ接合部疲労解析

英語表記:fatigue simulation of solder joints

ふちだれ現象

英語表記:dull edge

ふっ酸モニタ

英語表記:hydrogen fluoride monitor

ふっ酸過酸化水素洗浄液

英語表記:hydrofluoric acid hydrogen peroxide mixture cleaning solution

ふっ酸回収装置

英語表記:hydrofluoric acid regenerator

ふっ酸添加純水

英語表記:HF added pure water

ふっ素イオン計

英語表記:fluoride ion monitor

ふっ素回収装置

英語表記:fluoride reclamation equipment

ふっ素廃水処理装置

英語表記:fluorine waste water treatment equipment

へキサメチルジシラザン

英語表記:Hexamethyldisilazane :HMDS

へテロダイン検出

英語表記:heterodyne alignment

べーキング

英語表記:baking

べース樹脂

英語表記:base resin

べき乗則

英語表記:power law

べクタ走査

英語表記:vector scan

めん棒

英語表記:swabs

るつぼ

英語表記:crucible

るつぼ移動ストローク

英語表記:crucible lift travel

るつぼ移動速度

英語表記:crucible lift rate

るつぼ回転速度

英語表記:crucible rotation rate

るつぼ駆動機構

英語表記:crucible lift mechanism

るつぼ軸

英語表記:crucible shaft

ろ材

英語表記:filter media

ろ材

英語表記:filter media

ろ材誘電型エアフィルタ

英語表記:charged-media electric air filter

アークチャンバ

英語表記:arc chamber

アークランプ アニール装置

英語表記:arc lamp annealer

アース棒

英語表記:earth bar grounding bar

アイススクラブ洗浄

英語表記:ice scrubber cleaning

アイダイアグラムマスクテスト

英語表記:eye diagram mask test

アイランド ダイバッド

英語表記:die pad

アイランドダウン ディプレス

英語表記:depressed die pad

アイリッド

英語表記:eyelid

アインシュタインの関係式

英語表記:Einstein's equation

アウタリード

英語表記:outer lead

アウタリードボンダ

英語表記:Outer Lead bonder

アウタリードボンディング

英語表記:outer lead bonding

アウタリードボンディング アウタリードボンダ

英語表記:outer lead bonding outer lead bonder

アウトガス

英語表記:outgassing

アクティブダンパ

英語表記:active amper

アスペクト比

英語表記:aspect ratio

アスペクト比

英語表記:aspect ratio

アスペクト比

英語表記:Aspect Ratio

アズカットウェーハスライドウェーハ

英語表記:as-cut wafer sliced wafer

アッシング

英語表記:ashing

アッシング(灰化)

英語表記:Ashing

アッシング機構

英語表記:ashing mechanism

アッシング装置

英語表記:ashing system

アトミック・レイヤー・エッチング

英語表記:Atomic Layer Etching

アトムプローブ電界イオン顕微鏡

英語表記:Atom Probe Field Ion Micro­scope: APFIM

アドヒージョンユニット

英語表記:adhesion unit

アドレスサイズ

英語表記:address unit

アドレススクランブル機能

英語表記:address scramble function

アドレスマルチ機能

英語表記:address multiplex function

アナログ

英語表記:Analog

アナログ・テジタル混載

英語表記:LSI(analog・digital mixed LSI)

アニーリング(熱処理)

英語表記:annealing

アニール

英語表記:anneal

アニール

英語表記:anneal

アニール(熱処理)

英語表記:Annealing

アニール温度

英語表記:annealing temperature

アニール均一性

英語表記:annealing uniformity

アニール室

英語表記:annealing chamber

アニオン交換樹脂

英語表記:anion exchange resin

アニオン交換樹脂塔

英語表記:anion exchanger

アパーチャ

英語表記:aperture

アフターコロージョン

英語表記:アフターコロージョン

アフタキュア ポストキュア

英語表記:after cure post cure

アフタコロージョン

英語表記:after-corrosion

アモーファス

英語表記:Amorphous

アモルファス

英語表記:amorphous

アモルファスフロロカーボン

英語表記:a-C:F:amorphous fluorocarbon

アライメント

英語表記:alignment

アライメントオフセット

英語表記:alignment offset

アライメントスコープ

英語表記:alignment scope

アライメントステージ

英語表記:alignment stage

アライメントマーク 合せマーク

英語表記:alignment mark

アライメント精度

英語表記:alignment accuracy

アライメント精度

英語表記:alignment accuracy

アライメント精度 位置合せ精度

英語表記:alignment accuracy

アルゴリズミック パターン発生器 ALPG

英語表記:algorithmic pattern  genetator

アルミ

英語表記:alminium

アルミナ基板

英語表記:alumina substrate

アルミナ砥粒

英語表記:alumina abrasive

アルミニウムワイヤ

英語表記:aluminum wire

アルミニウム配線

英語表記:aluminum conductor

アルミ腐食

英語表記:aluminum corrosion

アレニウスグラフ アレニウスプロット

英語表記:Arrhenius graph Arrhenius plot dependence of life time on temperature plot

アレニウスプロット

英語表記:Arrhenius plot

アレニウス則

英語表記:Arrhenius model

アロイスパイク

英語表記:alloy spike

アンスタッカ

英語表記:unstacker

アンダーフィル

英語表記:underfill

アンダエッチング

英語表記:under etching

アンダエッチング

英語表記:under-etching

アンダカット サイドエッチング

英語表記:undercut side etching

アンチモン

英語表記:antimony

アンテナ比

英語表記:antenna retio

アンローダ

英語表記:unloader

イオナイザ

英語表記:ionizer

イオンアシスト反応

英語表記:lon¯assisted reactions

イオンインプランテーション

英語表記:ion implantation

イオンエネルギー分析

英語表記:ion energy analysis

イオンクロマトグラフ

英語表記:ion chromato graph

イオンビーム

英語表記:ion beam

イオンビーム  スパッタリング装置

英語表記:ion beam sputtering system

イオンビームエッチング装置

英語表記:ion beam etching equipment

イオンビームエッチング装置 イオンミリング装置

英語表記:ion beam etching system ion milling system

イオンビームリソグラフィ

英語表記:ion beam lithography

イオンプレーティング装置

英語表記:ion plating system

イオンマイクロプローブ分析法 IMMA

英語表記:ion mocro probe mass analysis method

イオンミリング

英語表記:ion milling

イオン温度

英語表記:Ionic temperature

イオン化

英語表記:ionization

イオン化断面積

英語表記:Ionization cross section

イオン源

英語表記:ion source

イオン源

英語表記:ion source

イオン交換樹脂

英語表記:ion exchange resin

イオン交換樹脂交換容量 イオン交換容量

英語表記:ion exchange capacity

イオン交換装置

英語表記:ion exchange equipment

イオン交換膜

英語表記:ion exchange membrance

イオン散乱過程

英語表記:ion scattering

イオン照射

英語表記:ionic bombardment

イオン注入

英語表記:Ion Implantation

イオン注入

英語表記:ion implantation

イオン注入 イオン打込み

英語表記:ion implantation

イオン注入ダメージ

英語表記:Implant Damage

イオン注入プロセス

英語表記:ion implantation process

イオン注入応用技術

英語表記:ion beam application

イオン注入過程

英語表記:ion implantation process

イオン注入装置

英語表記:Ion Implantation System 

イオン注入装置

英語表記:ion implanter

イオン注入誘起欠陥

英語表記:ion-induced lattice defect

イオン電流密度

英語表記:ion current density

イオン分解能

英語表記:mass resolution

イナージェンガス消火設備

英語表記:inergen gas extinguishing system

イマージョンレンズ

英語表記:Immersion lens

イメージセンサテストシステム

英語表記:image sensor test system

イメージプロセッサユニット IPU

英語表記:image processor unit

イメージリバーサルプロセス

英語表記:Image reversal process

イルミネータ

英語表記:illuminator

インカ マーカ

英語表記:inker marker

インカ(マーカ)

英語表記:inker (marker)

インクジェット式マーキング装置

英語表記:inkjet printer

インクマーク

英語表記:ink marking

インクマーク装置

英語表記:ink marker

インゴット

英語表記:ingot

インゴット回転式切断機

英語表記:rotating ingot slicing machine

インゴット端面形状測定装置

英語表記:ingot end face bow measuring system

インサートリング フロッグリング インターフェースリング ハウジング ポゴタワー

英語表記:insert ring frog ring interface ring housing pogo tower

インジェクタノズル

英語表記:injector nozzle

インスペクション

英語表記:inspection

インターナルギア 内歯歯車

英語表記:internal gear

インタフェースタイミング

英語表記:interface timing

インタフェースユニット

英語表記:interface unit

インデクサ

英語表記:indexer

インデクサ フィーダ

英語表記:indexer

インデックス

英語表記:index

インデックスタイム

英語表記:index time

インデックス送り

英語表記:indexing

インデックス量

英語表記:index amount

イントリンシック

英語表記:intrinsic gettering

イントリンシックゲッタリング

英語表記:Intrinsic Gettering, Internal Gettering: IG

インナリード

英語表記:inner lead

インナリード/アウタリード

英語表記:inner-lead/outer-lead

インナリードボンダ

英語表記:Inner Lead bonder

インナリードボンディング

英語表記:inner lead bonding

インナリードボンディング インナリードボンダ

英語表記:inner lead bonding inner lead bonder

インバータ(Inverter)回路

英語表記:

インフィード研削

英語表記:infeed grinding

インプリント特性

英語表記:imprint characteristics

インプロセスゲージ IPG

英語表記:in-process gauge

インライン

英語表記:in line

インラインガスフィルタ

英語表記:in-line gas filter

インラインシステム

英語表記:inline system

インラインパーティクノレモニタ

英語表記:inline depth detecting monitor

インラインヒータ

英語表記:inline heater

インライン深さ検出モニタ

英語表記:inline depth detecting monitor

ウィッキング

英語表記:wicking

ウィッキング

英語表記:wicking

ウインドウコンパレータ

英語表記:window comparator

ウェーハ

英語表記:wafer

ウェーハ

英語表記:wafer

ウェーハ シッピングボックス(出荷容器)

英語表記:wafer shipping box

ウェーハID

英語表記:wafer ID

ウェーハ 自動移動装置

英語表記:wafer automatic transfer system

ウェーハ・ローディング・アンローディング

英語表記:wafer loading/ unloading

ウェーハアライメント 

英語表記:wafer alignment

ウェーハエンド

英語表記:wafer end

ウェーハカセット

英語表記:wafer cassette

ウェーハクーリング ステージ

英語表記:wafer cooling stage

ウェーハスケーリング

英語表記:wafer scaling

ウェーハステージ

英語表記:wafer stage

ウェーハチェンジャ

英語表記:wafer changer

ウェーハチャック

英語表記:wafer chuck

ウェーハツイスト

英語表記:wafer twist

ウェーハテーブル 吸着ステージチャック

英語表記:wafer table chuck

ウェーハテープ

英語表記:wafer tape

ウェーハテープホットブロー

英語表記:wafer tape hot blow

ウェーハティルト

英語表記:wafer tilt

ウェーハティルト ウェーハレベリング

英語表記:wafer tilting wafer leveling

ウェーハテスト ウェーハソート プローブテスト EDSテスト

英語表記:wafer test wafer sort probe test electrical die sot test

ウェーハディスク

英語表記:wafer disk

ウェーハディストーション

英語表記:wafer distortion

ウェーハフレーム ウェーハリング

英語表記:wafer frame

ウェーハフレームカセット

英語表記:wafer frame cassette

ウェーハプローバ プローバ

英語表記:wafer prober

ウェーハホイスト

英語表記:wafer hoist

ウェーハマウンタ

英語表記:wafer mounter

ウェーハマップ

英語表記:wafer map

ウェーハレベルCSP

英語表記:wafer level CSP

ウェーハレベルバーンイン装置 WLBI装置 ウェーハバーンイン装置

英語表記:wafer level burn-in system wafer burn-in system

ウェーハレベルパッケージング

英語表記:wafer level packaging

ウェーハローテーション

英語表記:wafer rotation error

ウェーハ異物検査装置

英語表記:wafer inspection equipment

ウェーハ間均一性

英語表記:wafer to wafer uniformity

ウェーハ間注入均一性

英語表記:wafer-to-wafer uniformity

ウェーハ形状認識

英語表記:wafer profile recognition

ウェーハ内注入均一性

英語表記:dose uniformity

ウェーハ表面検査

英語表記:wafer surface inspection

ウェーブガイド 分析官

英語表記:wave guide analyzing chamber

ウェーブフォームアナライザ WFA ウェーブトレーサ

英語表記:wave form analyzer wave tracer

ウェッジボンディング ウェッジボンダ

英語表記:wedge bonding wedge bonder

ウェットエッチング

英語表記:wetetching

ウェットエッチング機構

英語表記:wet etching mechanism

ウェットエッチング装置

英語表記:wet etching system

ウェットエッチング装置

英語表記:wet etching equipment

ウェット型

英語表記:wet type

ウェット式レジスト剥離装置

英語表記:wet type resist stripping system

ウェハプローバ

英語表記:wafer prober

ウェハ加工

英語表記:wafer marking

ウェハ固定

英語表記:wafer chucking

ウェハ冷却機構

英語表記:wafer cooling structure

ウェル

英語表記:Well

ウェル

英語表記:well

ウェル形成

英語表記:well fabrication

ウエートアジャスタ

英語表記:wait adjuster

ウエーハピッチ

英語表記:wafer pitch

ウエーハホルダ

英語表記:wafer holder

ウエーハ加熱機構

英語表記:wafer heating mechanisum

ウエーブスキャン

英語表記:wave scan

ウエッジツール

英語表記:wedged tool

ウエットエッチング

英語表記:Wet Etching

ウエハプロセス総論

英語表記:wafer process overview

ウォーターバック方式

英語表記:water-back system

ウォーターマーク

英語表記:water mark

ウォーターマーク

英語表記:water mark

ウォータポリシング 水研磨

英語表記:water polishing

ウォールアングル ショルダアングル テーパ角

英語表記:wall angle shoulder angle taper angle

エアーソルダ

英語表記:air soldering

エアーバック方式

英語表記:air-back system

エアアイソレーション

英語表記:air isolation

エアカーテン

英語表記:air curtain

エアシャワ

英語表記:air shower

エアタオル

英語表記:air towel

エアテンション

英語表記:air tension

エアフロー

英語表記:air flow

エアベント

英語表記:air vent

エアロック

英語表記:air lock

エアワッシャ

英語表記:air washer

エア加圧方式

英語表記:air pressure type

エキシマレーザ

英語表記:excrmer laser

エキシマレーザ露光

英語表記:excimer laser exposure

エキストリンシックゲッタリング

英語表記:Extrinsic Gettering : IG

エキスバンドステージ

英語表記:expand stage

エキスバンド装置 エキスパンド率

英語表記:expansion ratio

エクステンション

英語表記:Extension

エクストリンシックゲッタリング

英語表記:extrinsic gettering

エクストリンシックゲッタリング

英語表記:Extrinsic Gettering, External Gettering: IG

エジェクタ

英語表記:ejector

エックス線光電子分光法

英語表記:X-ray Photoelectron Spectroscopy

エッジ エクスクルージョン

英語表記:edge exclusion

エッジイクスクルージョン

英語表記:edge exclusion

エッジコレクション

英語表記:edge correction

エッジセンサ

英語表記:edge sensor

エッジセンサ

英語表記:edge sensor

エッジリンス エッジクリーン

英語表記: edge bead remover E.B.R.

エッチストップ

英語表記:ecth stop

エッチストップ

英語表記:etch stop

エッチストップ

英語表記:etch stop

エッチドウェーハ エッチングウェーハ

英語表記:etched wafer etching wafer

エッチバック

英語表記:etchi bakku

エッチバック

英語表記:etch back

エッチバック

英語表記:Etch Back

エッチング

英語表記:Etching

エッチング

英語表記:etching

エッチング

英語表記:etching

エッチングプロセス

英語表記:etching process

エッチングリードフレーム

英語表記:etching lead-frame

エッチング開口率

英語表記:exposed area ratio

エッチング均一性

英語表記:etch uniformity

エッチング均一性

英語表記:etching uniformity

エッチング残渣

英語表記:etch residue

エッチング室

英語表記:etching chamber

エッチング終点検出

英語表記:etching end point detection

エッチング終点検出機構

英語表記:etching end-point detection

エッチング選択比 エッチング選択制

英語表記:etch selectivity

エッチング装置

英語表記:etching system

エッチング装置

英語表記:etching equipment

エッチング速度

英語表記:etch rate

エッチング特性

英語表記:etching characteristics

エッチング反応生成物

英語表記:creative product by etching reaction

エネルギー コンタミネーション

英語表記:energy contamination

エネルギー分散X線分光法

英語表記:energy dispersive X-ray spectroscopy

エピタキシ

英語表記:Epitaxy

エピタキシャルウエーハ

英語表記:epitaxial wafer

エピタキシャル成長

英語表記:epitaxial growth

エピタキシャル成長機構

英語表記:epitaxial growth mechanism

エピタキシャル成長装置

英語表記:epitaxial growth systems

エピタキシャル成長装置

英語表記:epitaxial growth system

エピタキシャル層欠陥

英語表記:epitaxial defect

エピタキシャル膜厚測定方法

英語表記:measurement method of epitaxial layer thickness

エミッション顕微鏡法

英語表記:Emission microscopy

エミッタ・ベース・コレクタ

英語表記:

エリプソメトリ膜厚測定

英語表記:elipsometry thickness measurement

エレクトレットエアフィルタ

英語表記: electric air filter

エレクトロマイグレーション

英語表記:electromigration

エレクトロマイグレーション

英語表記:electromigration

エレクトロマイグレーション(EM)

英語表記:Electro-Migration

エレクトロンサプレッサ バイアス

英語表記:electron suppressor bias

エレクトロンフラッドガン

英語表記:electron flood gun

エレベーテッド・ソース・ドレイン

英語表記:Elevated Souece Drain

エロージョン

英語表記:erosion

エロージョン

英語表記:erosion

エンドステーション

英語表記:end-station

エンドレス バンドソー

英語表記:endless band saw

オージェ電子出現電位分光法

英語表記:auger electron appearance spectroscopy

オージェ電子分光(AES)

英語表記:Auger Electron Spectroscopy

オージェ電子分光法

英語表記:Auger Electron Spectroscopy

オージェ電子分光法 AES

英語表記:auger electron spectroscopy

オーディオ信号測定機能

英語表記:audio signal measurement

オートカッタセット オートセットアップ

英語表記:automatically cutter set automatically set-up

オートキャリブレーション機能

英語表記:automatic calibration function

オートセットアップ

英語表記:auto set up

オートダンパ カップ内排気

英語表記:automatic damper sequential damper exhaust for coater exhaust for developer

オートドーピング

英語表記:autodoping

オートドーピング

英語表記:autodoping

オーバ ポリッシュ

英語表記:over polish

オーバーサンプル型D/A変換器

英語表記:over-sampled D/A converter

オーバーポリッシング

英語表記:over polishing

オーバエッチング

英語表記:over-etching

オーバサンプルA/D変換器

英語表記:over sample A/D converter

オーバサンプル型D/A変換器

英語表記:Oversampled D/A Converter

オーバトラベル オーバドライブ くい込み量

英語表記:over travel over drive

オーバドライブ

英語表記:over drive

オーバハング

英語表記: overhang

オーバフローリンス

英語表記:over flow rinse

オーバヘッド時間

英語表記:overhead time

オーバライド

英語表記:over ride

オーブン温度分布 オーブン温度均一性

英語表記:baking temperature uniformity

オープンカセット O.C.

英語表記:open cassette

オープンショートチェッカ

英語表記:open short checker

オープンマニュファクチャリング

英語表記:open manufacturing

オーミックコンタクト

英語表記:Ohmic contact

オールメタル製ガス供給システム

英語表記:all metal gas supplying system

オゾンアッシング装置

英語表記:ozone asher

オゾンモニタ

英語表記:ozone monitor

オゾン酸化

英語表記:ozone oxidation

オゾン水

英語表記:ozonized water

オゾン水

英語表記:ozone water

オゾン層破壊

英語表記:ozone depletion

オゾン添加超純水

英語表記:ozonized ultrapure water

オファクシスアライメント

英語表記:off axis alignment

オフアクシスアライメント

英語表記:off-axis alignment

オフセット

英語表記:offset

オフセット

英語表記:offset

オフセットコントロール

英語表記:offset control

オフセット印刷機

英語表記:offset printing press

オフセンタ

英語表記:off center

オフライン処理

英語表記:off line process

オペレーションボックス

英語表記:operation box

オリエンタ

英語表記:orienter

オリエンテーションフラット オリフラ

英語表記:orientation flat

オリフラ合わせ機構

英語表記:orientation flat aligner

オリフラ整合装置

英語表記:orientation flat arrange equipment

オンアクシスアライメント

英語表記:on-axis alignment

オンザフライ リンクブロー

英語表記:on-the-fly link-blow

オンザフライスプリット RTTC RTWC

英語表記:on-the-fly split real time timing control real time wave control

オンライン処理

英語表記:on line process

カーケンドールボイド

英語表記:Kirkendall void

カードル

英語表記:bundled

カーフロス

英語表記:kerf loss

カーリング

英語表記:curling

カスケードドライバ

英語表記:cascaded driver

カスコード型差動増幅器

英語表記:Cascoded differential amplifier

カセットID

英語表記:cassette ID

カセット ツー カセット

英語表記:cassette-to-cassette handling

カセット マガジン

英語表記:cassette magazine

カセットトランスファ

英語表記:cassette transfer

カセットレス洗浄装置

英語表記:cassetteless wet cleaning equipment

カセット洗浄装置

英語表記:cassette cleaning equipment

カソード ターゲット電極

英語表記:cathode target electrode

カソードルミネッセンス法

英語表記:CL: Cathodoluminescence

カチオン交換樹脂

英語表記:cation exchange resin

カチオン交換樹脂塔

英語表記:cation exchanger

カットオフ

英語表記:cut off

カットラインチェック カーフチェック

英語表記:kerf inspection

カップリンス

英語表記:bowl rinse

カップ温湿度調整

英語表記:cup temperatureーhumidity controller

カップ温度湿度調整

英語表記:bowl temperature and humidity control

カテゴリ ビン

英語表記:category bin

カメラツールオフセット

英語表記:camera-tool offset

カラーフィルタ試験

英語表記:coler filter test

カラムアライメント

英語表記:column alignment

カラムコントロール

英語表記:column control

カル

英語表記:cull

カルーセル

英語表記:carousel

カレントミラー回路

英語表記:

カンチレバー

英語表記:cantilever

カンチレバープロービングカード

英語表記:cantilever probing card

ガード

英語表記:guard

ガイドローラ ガイドプーリー

英語表記:guide roller guide pulley

ガウシアンビーム

英語表記:Gaussian beam,叩ot beam

ガス・粒子変換

英語表記:gas to particle conversion

ガスクロマトグラフ

英語表記:gas chromatograph

ガスクロマトグラフィ質量分析計 GCーMS

英語表記:gas chromatography mass spectrometer

ガスクロマトグラフィ質量分析法

英語表記:GC-MS: Gas Chromatography Mass Spectrometry

ガスソースMBE

英語表記:gas source MBE

ガスソース分子線エピタキシャル成長装置 MOMBE装置 CBE装置

英語表記:gas source molecular beam epitaxial growth system metal organic molecular beam epitaxial growth system chemical beam epitaxial system

ガスボックス

英語表記:gas box

ガス希釈器

英語表記:gas dilution system

ガス供給センタ

英語表記:total gas supply system

ガス精製装置

英語表記:gas purifier

ガス導入系

英語表記:gas feed system

ガス濃度検出器

英語表記:gas concentration sensor

ガス配管接合技術

英語表記:gas pipeline installation technology

ガス溶存水洗浄

英語表記:gas disolved water cleaning

ガス漏れ警報器

英語表記:gas leakage detector alarm

ガラスエポキシ樹脂基板

英語表記:glass epoxy resin board

ガラス基板

英語表記:glass substrate

ガラス転移点

英語表記:glass transition temperature

ガラス封止装置

英語表記:glass frit sealing equipment

キーホール

英語表記:key hole

キナルジン酸

英語表記:quinaldic acid

キネマチックカップリング

英語表記:kinematic coupling

キャドナビゲーション

英語表記:CAD navigation

キャビテーション洗浄

英語表記:cavitation jet cleaning

キャビティ

英語表記:cavity

キャピティ

英語表記:cavity

キャピラリ

英語表記:capillary

キャリーオーバ

英語表記:carry over

キャリア

英語表記:wafer carrier

キャリア

英語表記:carrier

キャリアの凍結

英語表記:carrier freeze out

キャリアガス

英語表記:carner gas

キャリブレーションボード

英語表記:calibration board

キャリヤ

英語表記:carrier

キャリヤガス

英語表記:carrier gas

キャリヤガス

英語表記:carrier gas

キャリヤサイズ

英語表記:carrier size

キャリヤテープ ボート

英語表記:carrier tape boat

キャリヤボックス

英語表記:carrier box

キャリヤラック

英語表記:carrier rack

キュアタイム

英語表記:curing time

キュア装置

英語表記:curing oven

キレート剤

英語表記:chelate agent

キレート剤添加洗浄薬品

英語表記:chelating agent added cleaning chemical

キレート樹脂吸着塔

英語表記:chelate resin column

ギャップフィル

英語表記:gap fill

クーリングステージ

英語表記:cooling stage

クーリングプレート

英語表記:chill plate

クーロン効果

英語表記:Coulomb interaction

クーロン散乱

英語表記:Coulomb scattering

クイックコネクタ

英語表記:quick connector quick disconnecting coupling

クイックダンプリンス

英語表記:quick dump rinse

クエン酸洗浄

英語表記:citric acid cleaning

クヌーセン セル

英語表記:Knudsen cell

クヌッセンセル

英語表記:knudsen cell

クラスタ

英語表記:cluster

クラスタ

英語表記:cluster

クラスタ・イオンビーム蒸着装置

英語表記:ionized cluster beam evaporation system

クラスタツール

英語表記:cluster tool

クラック

英語表記:crack

クラッシュフォーミング

英語表記:crash forming

クラブ形状

英語表記:club shape

クリードラフトチャンバ

英語表記:clean draft chamber

クリープフィード研削

英語表記:creepfeed grinding

クリーンエレベータ

英語表記:clean elevator

クリーンチューブシステム CTS

英語表記:clean tube system CTS

クリーントイレ

英語表記:clean toilet

クリーントンネル

英語表記:clean tunnel

クリーンドライエア

英語表記:clean dried air

クリーンブース

英語表記:clean booth

クリーンベンチ 清浄作業台

英語表記:clean bench clean work station

クリーンペーパー

英語表記:clean paper

クリーンルーム

英語表記:clean room

クリーンロッカー

英語表記:clean locker

クリーンロボット

英語表記:clean robot

クリーン手洗器

英語表記:clean hand washer

クレータリング

英語表記:cratering

クレイドル

英語表記:cradle

クローズド システム

英語表記:closed system

クローズド マニュファクチャリング

英語表記:closed manufacturing

クロスオーバ

英語表記:cross over

クロスコンタミネーション

英語表記:cross contamination

クロスコンタミネーション

英語表記:cross contamination

クロストーク

英語表記:crosstalk

クロッキング方式

英語表記:

クロマ信号測定機能

英語表記:chroma signal measurement

グラインディングホイール

英語表記:grinding wheel

グラファイトヒータ アニール装置

英語表記:graphite heater annealer

グリシン

英語表記:glycine

グリッチ

英語表記:glitch

グリッチ

英語表記:glitch

グリッパフィーダ

英語表記:index gripper

グループローラ メインローラ 溝車

英語表記:grooved roller main roller

グレージング

英語表記:grazing

グレーティングパネル

英語表記:grated panel

グローバル

英語表記:global

グローバルアライメント

英語表記:global alignment

グローバルティルト グローバルレベリング

英語表記:global tilting global leveling

グローバルプラナリゼーション

英語表記:global planarization

グローバル配線

英語表記:global wiring

グロスリークテスト

英語表記:gross leak testing

ケーキホッパ

英語表記:cake hopper

ケミカルエアフィルタ ガス除去用エアフィルタ

英語表記:chemical air filter

ケミカルドライエッチング (CDE)装置

英語表記:Chemical Dry Etching equipment : CDE equipment

ケミカル汚染

英語表記:chemical contamination

ケルビン接続

英語表記:kelvin contact

ゲート

英語表記:gate

ゲート・スタック

英語表記:Gate Stack

ゲートインサートピース

英語表記:gate insert piece

ゲートカット

英語表記:gate cutting

ゲートバルブ

英語表記:gate valve

ゲートバルブ

英語表記:gate valve

ゲート残り

英語表記:remaining gate

ゲート接地増幅回路

英語表記:Grounded gateamp lifiercir

ゲート絶縁耐圧

英語表記:gate breakdown voltage

ゲート絶縁膜

英語表記:gate dielectrics

ゲッタリング

英語表記:Gettering

ゲッタリング

英語表記:gettering

ゲッタリング

英語表記:gettering

ゲッタリング

英語表記:gettering

ゲッタリング

英語表記:gettering

ゲル型イオン交換樹脂

英語表記:gel type ion exchange resin

コ・ポリマー

英語表記:co-polymer

コージェネレーション

英語表記:cogeneration

コールドウォール

英語表記:cold wall

コールドエバポレータ

英語表記:cold evaporator

コアテスト

英語表記:

コッククロフト

英語表記:cockcroft

コヒーレンス度

英語表記:coherence factor

コプラナリティ

英語表記:coplanarity

コリメータ

英語表記:collimator

コリメート スパッタリング

英語表記:collimate sputtering

コリメートスパッタ

英語表記:collimated sputtering

コレットヒータ

英語表記:collet heater

コロージョン

英語表記:corrosion

コロイダルシリカ

英語表記:colloidal silica

コンスタントヒートツール

英語表記:constant temperature constant heat tool

コンタクト/ビア

英語表記:contact/via hole

コンタクト/ビア形成

英語表記:contact/via formation

コンタクトチェック

英語表記:contact check

コンタクトプロキシミティ露光装置

英語表記:contact proximity

コンタクトホール

英語表記:contact hole

コンタクトボード

英語表記:contact board

コンタクト高抵抗化要因

英語表記:high contact resistance Issues

コンタクト抵抗の低抵抗化

英語表記:reduction Of contact res1Stance

コンタミネーション

英語表記:contamination

コンタミ量

英語表記:contamination level

コンダクタンス法

英語表記:conductance method

コンディショニング ドレッシング

英語表記:conditioning dressing

コントラスト

英語表記:contrast

コンバージョンキット

英語表記:conversion kit

コンパレータ

英語表記:comparator

コンピュータ支援故障解析手法

英語表記:CAFA : Computer Aided Fail­ure Analysis

コンベヤ

英語表記:conveyer

ゴースト法

英語表記:GOHST method

サーチスピード

英語表記:search speed

サーチレベル

英語表記:search level

サービスエリア

英語表記:service area

サーマルチャンバ

英語表記:thermal chamber

サーマルビア

英語表記:thermal via

サイクルタイム

英語表記:cycle time

サイクル時間

英語表記:cycle time

サイクル処理時間

英語表記:cycle time

サイト

英語表記:saite

サイトFPD SBID SFLD SF3D SFQD

英語表記:site focal plane deviation site back ideal deviation site front least-squares global deviation site front three points deviation site front least-squares site deviation

サイトTIR SBIR SFLR SF3R SFQR

英語表記:site total indicator reading site back ideal range (local thickness variation) site front least-squares global range site front three points range site front least-squares range

サイトアレイ

英語表記:site array

サイトサイズ

英語表記:site size

サイドロブ

英語表記:side lobe

サイリスタ

英語表記:

サセプタ

英語表記:susceptor

サセプタ

英語表記:susceptor

サックバック

英語表記:suck back

サックバック

英語表記:suck back

サブサイト

英語表記:subsite

サブシステム ポリッシングシステム

英語表記:subsystem

サブストレート

英語表記:substrate

サブマスタ

英語表記:sub-master

サマリデータ

英語表記:summary data

サリサイド

英語表記:salicide : self-aligned silicide

サンプリング

英語表記:sampling

サンプリング デジタイザ

英語表記:sampling digitizer

サンプルアンドディファレンス

英語表記:sample and  difference

シーケンシャルパターン発生器 SQPG

英語表記:sequential pattern generator

シース

英語表記:sheath

シーズニング

英語表記:seasoning

シーズニング

英語表記:seasoning

シーソー

英語表記:see saw

シート抵抗測定

英語表記:sheet resistance measurement

シードチャック

英語表記:seed chuck

シード移動ストローク

英語表記:seed lift travel

シード移動速度

英語表記:seed lift rate

シード回転速度 結晶回転速度 S/R

英語表記:seed rotation rate crystal rotation

シード軸

英語表記:seed shaft

シード層

英語表記:seed layer

シーム

英語表記:seam

シーム溶接装置

英語表記:parallel seam resistance welding equipment

シールドルーム

英語表記:shielding room

シェープ

英語表記:shape

シクロプタン誘導体

英語表記:cyclobutane derivative

システムLSI

英語表記:system LSI

システムLSI 

英語表記:

システムLSIテストシステム SoCテストシステム ミックスドシグナルテストシステム

英語表記:system LSI test system system on chip test system mixed signal test system

システム端末

英語表記:terminal

シフトレジスタ

英語表記:

シャロー ジャンクション

英語表記:shallow junction

シャワー板 カソード

英語表記:shower plate cathode

シュムープロット

英語表記:shmoo plot

ショット

英語表記:shot

ショットキ バリア ダイオード

英語表記:

ショットキバリア

英語表記:Schottky barrier

ショットキ効果

英語表記:Schottky effect

ショットキ障壁

英語表記:Schottky barrier

ショットスケーリング ショット倍率

英語表記:shot scaling

ショットローテーション

英語表記:shot rotation

ショット雑音

英語表記:Shot noise

ショット数

英語表記:number Of shots

ショルダ

英語表記:shoulder

シリカ

英語表記:silica

シリカ計

英語表記:silica analyzer

シリコン

英語表記:Silicon

シリコンサイクル

英語表記:

シリコントレンチ

英語表記:silicon trench

シリコンバレー

英語表記:Silicon Valley

シリコン基板

英語表記:silicon substrate

シリコン酸化膜

英語表記:silicon dioxide

シリコン単結晶の物性

英語表記:physical properties of single crystal

シリコン単結晶成長

英語表記:silicon single crystal growth

シリコン融液対流

英語表記:silicon liquid phase convection

シリサイド

英語表記:silicide

シリサイド化

英語表記:silicidation

シリル化プロセス

英語表記:silyl process

シリル化処理装置

英語表記:silylation system

シリンダキャビネット

英語表記:cylinder cabinet

シリンダボンベ 内部研磨クリーンボンベ

英語表記:cylinder ultra clean cylinder

シリンダ型装置

英語表記:

シロキサン

英語表記:siloxane

シロキサン結合

英語表記:siloxane bonding

シンギュレーションセパレート

英語表記:singulation separate

シングルカセットロータ

英語表記:single cassette rotor

シンタ

英語表記:sinter

シンニング

英語表記:thinning

シンニング

英語表記:thinning

ジーメンス法

英語表記:siemens method

ジアゾナフトキノン感光剤

英語表記:diazonapthoquinone Photo active compound

ジッタ測定器 時間解析器 タイムメジャーメントデジタイザ

英語表記:time analizer

ジャストエッチング

英語表記:just etching

ジャミング

英語表記:jamming

ジャム率

英語表記:jamming rate

ジャンクションスパイク

英語表記:junction spike

ジョブショップ

英語表記:job shop

ジルコニアスラリー

英語表記:zirconium slurry

スーパーボルテージ機能

英語表記:super voltage function

スーパバッファメモリ SBM HBM

英語表記:super buffer memory

スイープ測定サーチ

英語表記:sweep mesurement

スイッチング特性

英語表記:switching characteristic

スカベンジャ

英語表記:scavenger

スカム

英語表記:scum

スキップ測定

英語表記:skip measurement

スキャナ

英語表記:Scanner

スキャナ

英語表記:scanner

スキャナーサイトフラットネス SFFD SFSR

英語表記:scanner site flatnesss site front least-squares subsite deviation site front least-squares subsite range

スキャンテスト

英語表記:

スキャンディレクション

英語表記:scan direction

スキャンデザイン デバイス測定機能

英語表記:test function of scan designed devices

スキャンパステスト

英語表記:scan pass

スキャンビーム電流

英語表記:scanned beam current

スキュ-調整PLL

英語表記:Skew adjust PLL

スキュー

英語表記:skew

スクライビング

英語表記:scribing

スクラッチ

英語表記:scratch

スクラッチ

英語表記:scrach

スクラッチ

英語表記:scratch

スクラバ

英語表記:scrubber

スクラブ

英語表記:scrubbing

スクラブ洗浄

英語表記:scrubbing

スクリーニング

英語表記:screening

スクリーニング

英語表記:screening

スケール防止剤

英語表記:scale inhibitors

スタッカクレーンカセットリフタ

英語表記:stacker crane cassette lifter

スタックド スタックドCSP

英語表記:stcked stcked CSP

スタティック バーンイン装置

英語表記:static burn-in system

スタティックファンクションテスト

英語表記:static functional test

スタンドオフ

英語表記:stand-off

スタンバ ディプレスステージ

英語表記:stamper depressed stage

スタンピングヘッド

英語表記:stamping head

スタンピングリードフレーム

英語表記:stamping lead-frame

スチーム酸化

英語表記:steam oxidation

スチーム酸化

英語表記:steam oxidation

ステージ

英語表記:stage

ステージ移動

英語表記:stage moving

ステージ姿勢

英語表記:stage attitude

ステージ連続移動

英語表記:Stage continuous movmg

ステッチボンド

英語表記:stitch bonding

ステッパ

英語表記:stepper

ステップアンドスキャン

英語表記:step-and-scan

ステップアンドリピート

英語表記:step and repeat

ステップエッチング

英語表記:step etching

ステップカット

英語表記:step cutting

ステップカバレージ 回り込み率

英語表記:step coverrage

ステップカバレッジ

英語表記:Step coverage

ステップカバレッジ

英語表記:Step coverage

ステップカバレッジ

英語表記:Step coverage

ステップピッチ

英語表記:step pitch

ステップ式投影露光装置

英語表記:stepping projection aligner

ステンシルマスク

英語表記:stencil mask

ストッカ

英語表記:stocker

ストッパ

英語表記:stopper

ストッパー膜

英語表記:stopper film

ストリート

英語表記:street

ストリエーション

英語表記:striation

ストリエーション

英語表記:striation

ストレスマイグレーション

英語表記:stress-migration

ストレスマイグレーション

英語表記:stress-induced migration

ストレスマイグレーション(SM)

英語表記:Stress-Migration

ストレスマイグレーション試験

英語表記:stress migration

ストレッチ アンドスクイズ

英語表記:stretch and squees

ストローブ

英語表記:strobe

ストロープコンパレータ

英語表記:strobed comparator

ストロボ法

英語表記:stroboscopic imaging

スナップキュア

英語表記:snap cure

スパークギャップ

英語表記:spark gap

スパークギャップ

英語表記:spark gap

スパイラル型逆浸透膜モジュール

英語表記:spiral-wound type reverse osmosis membrane module

スパッタイオンポンプ

英語表記:sputter ion pump

スパッタエッチング

英語表記:sputter etching

スパッタエッチング

英語表記:スパッタエッチング

スパッタガン スパッタリング装置

英語表記:sputter-gun sputtering system

スパッタリング

英語表記:sputtering

スパッタリング装置 スパッタ装置

英語表記:sputtering system

スパッタ率

英語表記:sputtering yield

スパンカ

英語表記:spanker

スピンカップ

英語表記:Spin cup

スピンカップ

英語表記:spin cup

スピンコータ

英語表記:spin coater

スピンコート法

英語表記:spin coat method

スピンチャック

英語表記:spin chuck

スピンチャック

英語表記:spin chuck

スピンデベロッパ

英語表記:spin developer

スピンドライヤ

英語表記:spin dryer

スピンドル

英語表記:spindle

スピンモータ 立ち上がり特性

英語表記:acceleration

スピンモータ回転数

英語表記:rotation speed

スピン乾燥

英語表記:spin drying

スピン乾燥

英語表記:spin drying

スピン洗浄

英語表記:spin cleaning

スピン洗浄装置

英語表記:spin cleaner

スピン洗浄装置

英語表記:spin cleaner

スプリンクラー設備

英語表記:sprinkler system

スプレーデベロッパ

英語表記:spray developer

スプレー型(バッチ)

英語表記:spray type

スプレー現像

英語表記:spray developing

スプレー式エッチング装置

英語表記:spray etching system

スプレー塗布

英語表記:spray coating

スペアロー/カラム予備行/列リンボー

英語表記:spare row/columnlimbo

スマートカット

英語表記:smart cut

スミア試験

英語表記:smear test

スムージング

英語表記:smoothing

スライシング

英語表記:Slicing

スライシング

英語表記:slicing

スライスベース

英語表記:slice base

スライム防止剤

英語表記:slime inhibitors

スラリー

英語表記:slurry

スラリー

英語表記:slurry

スラリーセパレータ

英語表記:slurry separator

スラリー分析終点検出法

英語表記:end point detection by slurry constituent analysis

スリーウェイ方式

英語表記:three-way

スリップ

英語表記:slip

スリップ

英語表記:slip

スルーザウォール構造

英語表記:through the wall structure

スループット

英語表記:throughput

スルーホール

英語表記:through hole

スルーレート

英語表記:slew rate

スローベント ソフトベント

英語表記:slow vent soft vent

スロー排気 ソフト排気

英語表記:slow pumping slow roughing soft roughing

スワール

英語表記:swirl

スワール

英語表記:swirl

スワップ搬送

英語表記:swapped transportation

セグメント

英語表記:segment

セットアップ時間、ホール度時間

英語表記:

セミコンショー

英語表記:SEMICON SHOW

セミフルカット

英語表記:semi full cutting

セラミック基板

英語表記:ceramic substrate

セリアスラリー

英語表記:ceria slurry

セルフアライメント

英語表記:self alignment effect

セルフグラインド

英語表記:self grinding

セルフバイアス 陰極電圧降下 Vdc

英語表記:self bias

セルプロジェクション

英語表記:cell projection

センタブロック

英語表記:center block

センタリング

英語表記:centering

センダ

英語表記:sender

ゼータ電位

英語表記:zeta potential

ゼータ電位 界面同電位

英語表記:zeta potential electrokinetic potential

ゼーベック効果

英語表記:Seebeck effect

ゼロエミッション 産業廃棄物ゼロ

英語表記:zero emission

ソークタイム

英語表記:soak time

ソースキャビネット

英語表記:source cabinet

ソースマグネット

英語表記:ion source magnet

ソース接地増幅回路

英語表記:grounded source amplifier Circuit

ソータ

英語表記:sorter

ソープションポンプ

英語表記:sorption pump

ソーマーク

英語表記:saw mark

ソーマーク

英語表記:saw mark

ソケット式コンタクト

英語表記:socket type contact

ソフトランディング

英語表記:soft landing

ソルダマスク

英語表記:solder mask

ソルダレジスト

英語表記:solder resist

ソルダ封止装置

英語表記:solder sealing equipment

ゾーン数

英語表記:zone count

ターゲット

英語表記:target

ターゲット

英語表記:target

ターゲットスキャン

英語表記:target scan

ターゲット点 問題点

英語表記:tagget point recognition point

ターゲット利用効率

英語表記:efficiency of target utilization

ターボ分子ポンプ

英語表記:turbo molecular

ターンテーブル

英語表記:turn table

タイバー ダムバー

英語表記:tie bar dam bar

タイミングエッジ

英語表記:timing edge

タイミングジェネレータ

英語表記:timing generator

タイミングチャート

英語表記:

タイミング相数 クロック相数

英語表記:number of timing phase number of clock

タイムモジュレーションエッチング

英語表記:time modulation etching

タッチローラ

英語表記:touch roller

タブレット

英語表記:tablet

タブレットプリヒート装置

英語表記:tablet pre heater

タングステン

英語表記:tungusten

タンデム型装置

英語表記:tandem electrostatic accelerator

ダーク条件

英語表記:ダーク条件

ダイ

英語表記:die

ダイアライメント

英語表記:die alignment

ダイオード

英語表記:

ダイクリーナ

英語表記:mold cleaner

ダイシアテスタ

英語表記:die shear tester

ダイシア強度

英語表記:die shear strength

ダイシング

英語表記:dicing

ダイシング

英語表記:dicing

ダイシングソー ダイサ

英語表記:dicing saw

ダイシング装置

英語表記:Dicing Equipment

ダイセット

英語表記:die set

ダイトレイ

英語表記:die tray

ダイナミック バーンイン装置

英語表記:dynamic burn-in system

ダイナミッククランプ 機能

英語表記:dynamic clamp

ダイナミックパターントレーサ パターントレーサ パターンシミュレータ

英語表記:dynamic pattern tracer pattern tracer pattern simulator

ダイナミックファンクションテスト

英語表記:dynamic functional test

ダイナミックロード プログラマブルロード アクティブロード

英語表記:dynamic load programmable load active load

ダイナミック電源電流測定

英語表記:dynamic power current measurement

ダイバーカット

英語表記:tiebar cutting

ダイバイダイアライメント

英語表記:die-by-die alignment

ダイバイダイティルト ダイバイダイレベリング

英語表記:die-by-die tiliting die-by-die leveling

ダイパッド

英語表記:die-pad

ダイボンダ

英語表記:die bonding

ダイボンティング

英語表記:die bonding

ダイボンディング

英語表記:die bonding

ダイボンディング材料

英語表記:die bonding materials

ダイヤモンドCVD

英語表記:diamond CVD

ダイヤモンドホイール

英語表記:cup shaped daiamond grinding wheel

ダイヤモンドワイヤ

英語表記:daimonnd wire

ダイヤモンド外周刃といし

英語表記:diamond blade

ダイレクトインデックス機能 サンプリングプローブ機能

英語表記:direct index function sampling prove function

ダイレクトピックアップ ボンディング

英語表記:direct pick-up

ダイレクト印刷機

英語表記:printing press

ダウンセット アップセット

英語表記:down set up set

ダウンフロー型アッシング装置 ダウンストリーム型アッシング装置

英語表記:down flow asher down stream achere

ダッシュネック

英語表記:Dash's neck

ダブルスキャン デュアルスキャン

英語表記:dual scan

ダマシン

英語表記:damascene

ダマシン

英語表記:damascene

ダマシンプロセス

英語表記:damascene process

ダミーウェーハ

英語表記:dummy wafer

ダミーウェーハ

英語表記:dummy wafer

ダミーサイクル

英語表記:dummy cycle

ダミーパターン

英語表記:dummy pattern

ダムバー残り

英語表記:protrusion tiebar

ダムブロック

英語表記:dam block

ダメージ

英語表記:damage

ダメージ

英語表記:damage

ダンサローラ

英語表記:dancer roller

チェーン注入

英語表記:chained implants

チェイスブロック

英語表記:chase unit

チッピング

英語表記:chipping

チップ ダイ

英語表記:chip die

チップアライメント

英語表記:chip alignment

チップクラック

英語表記:chip crack

チップクラック解析

英語表記:simulation of die cracking

チップバーンイン

英語表記:chip burn-in

チップ関連故障解析手法

英語表記:failure analysis methods related to device chips

チップ上の故障メカニズム

英語表記:failure mechanism on device chips

チップ突上げ

英語表記:plunge up

チップ裏面側からの解析法

英語表記:failure analysis from backside of chips

チャージアップ

英語表記:charge up

チャージアップダメージ

英語表記:Charge up Damage

チャージポンピング法

英語表記:charge pumping method

チャージポンプ回路

英語表記:charge pump circuit

チャイルド・ラングミュアの式

英語表記:Child-Langmuir equation

チャックテーブル

英語表記:chuck table

チャック洗浄機構

英語表記:chuck cleaning mechanism

チャネリング

英語表記:channeling

チャネリング

英語表記:channeling

チャネリング

英語表記:channeling

チャネリング防止

英語表記:channeling protection

チャネルストッパ

英語表記:channel stopper

チャンネリング

英語表記:Channeling

チャンバ

英語表記:chamber vessel

チャンバクリーニング プラズマクリーニング

英語表記:chamber cleaning plasma cleaning

チャンバコントローラ

英語表記:chamber controller

チューブコントローラ

英語表記:tube controller

チューブラ型逆浸透膜モジュール 管状型逆浸透膜モジュール

英語表記:tubular type reverse osmosis membrane

チョクラルスキー法

英語表記:Czochralski

チョッパ機構

英語表記:chopper mechanism

ツーウェイ方式

英語表記:two-way

ツールチェンジャ

英語表記:tool changer

ツールハイト

英語表記:tool height

ツール研磨

英語表記:tool lapping

ツール取付長さ

英語表記:setting length of tool

ツエナ効果

英語表記:Zener effect

ツルーイング

英語表記:truing

テーパエッチング

英語表記:taper etching

テーピング

英語表記:taping

テーブル

英語表記:table

テーブルティア

英語表記:table tear

テープカット

英語表記:tape cutting

テープキャリヤ

英語表記:tape carrier film carrier

テープキャリヤパッケージ PCP

英語表記:tape carrier package

テープボンディング TAB

英語表記:tape automated bonding

テープレスカット

英語表記:tapeless cuttiing

テープ剥離装置

英語表記:tape peeling machine

テール

英語表記:tail

テール処理

英語表記:tail process

ティルトステップ

英語表記:multiple tilt angle implantation

テイルのばらつき

英語表記:tail length dispersion

テイルレングス

英語表記:tail length

テクスチャマッピング

英語表記:texture mapping

テスタ

英語表記:IC test system

テスタコンピュータ TCP テストプロセッサコントローラ テスタコントローラ

英語表記:tester control processor

テスティング

英語表記:testing

テストべクタジェネレータ

英語表記:test vector generator

テストサイト

英語表記:test-site

テストシミュレータ

英語表記:test simulator

テストステーション

英語表記:test station

テストスペック

英語表記:test specification

テストバーンイン装置 テスティングバーンイン装置

英語表記:test burn-in system testing burn-in system

テストパターンメモリ ローカルメモリ

英語表記:test pattern memory local memory

テストヘッド

英語表記:test head

テストボックス アプリケーションボックス

英語表記:test box application box

テストレートピリオド

英語表記:test rate period

テレセントリック

英語表記:telecentric system

テンションヘッド チャックボディ ホイールヘッド

英語表記:tension head

テンプレート

英語表記:template

データセパレーションテスト

英語表記:data separation

データトポロジ機能 データスクランブル機能

英語表記:data topological fanction

データベースシステム

英語表記:data base system

データリテンションテスト

英語表記:data retension test

データレート

英語表記:data rate

データレベル電源

英語表記:data level source

データロギング データログ

英語表記:data logging data log

データログメモリ

英語表記:data logging memory

データ処理

英語表記:data processing

ディザドPLL

英語表記:Dithered PLL

ディスクリート半導体

英語表記:

ディスペンサ

英語表記:dispenser

ディスペンサノズル

英語表記:dispenser nozzle

ディッシング

英語表記:dishing

ディッシング

英語表記:dishing

ディップデベロッパ

英語表記:dip developer

ディップ型

英語表記:dip type

ディファレンシャル DC測定

英語表記:differential DC measurement

ディフェクト

英語表記:defect

ディンプル

英語表記:dimple

ディンプル

英語表記:dimple

デイライト

英語表記:daylight

デカボラン注入

英語表記:decaborane implantation

デゲート

英語表記:degating

デジタル

英語表記:Digital

デジタルIC

英語表記:

デスキュー

英語表記:de-skew

デッドウェイト方式

英語表記:dead weight type

デバイス

英語表記:

デバイスGNDリレー

英語表記:DUT ground relay

デバイスプログラム テストプログラム

英語表記:device program test program

デバイスプログラム トランスレータ

英語表記:device program translator

デバイ温度

英語表記:Debye temperature

デバイ遮蔽

英語表記:debye shield

デバイ長

英語表記:debye length

デバッガ

英語表記:debbuger

デファクトスタンダード

英語表記:

デフロスタ

英語表記:defroster

デマウント装置 ウェーハ剥がし機

英語表記:demounting machine demount station

デューティ比

英語表記:duty ratio

デュアルALPG

英語表記:dual ALPG

デュアルカット

英語表記:dual cutting cuple cutting

デュアルゲート

英語表記:dual-gate

デュアルタイミングジェネレータ

英語表記:dual timing generator

デュアルダマシン

英語表記:dual damascene

デュアルダマシン法

英語表記:dual-damacene

トータルオーバレイ精度

英語表記:total overlay accuracy

トータルメタルロス

英語表記:total metal loss

トップ

英語表記:top

トップリング

英語表記:toppuringu

トップリング 強制駆動機構

英語表記:top ring forced drive

トライオード型RIE装置

英語表記:triode reactive ion etching system

トラップ

英語表記:Trap

トラバーサ リールトラバースユニット

英語表記:traverser unit of traversing reel

トランジスタ

英語表記:Transistor

トランスインピテダンス増幅器

英語表記:trans impedance amplifier

トランスデューサ

英語表記:transducer

トランスファモールド

英語表記:transfer molding

トランスファ出力 射出力

英語表記:transfer force

トランバース滴下

英語表記:transverse dispense

トリガ端子

英語表記:trigger terminal

トリクロルシラン:SiHCl3

英語表記:tri-clorosilane

トリハロメタン

英語表記:trihalomethanes

トルク電流終点検出法

英語表記:torque current end point detection

トレースガスモニタ

英語表記:trace gas monitor

トレー搬送

英語表記:tray handling

トレイ

英語表記:tray

トレンチエッチング

英語表記:trench etching

トレンチファースト

英語表記:trench first

トレンチ内ドーピング

英語表記:doping in trench

トレンチ分離

英語表記:shallow trench isolation

トンネルFET

英語表記:

トンネル効果

英語表記:tunnel effect

ドーズ ドーズ量

英語表記:dose

ドーズウインドウ

英語表記:dose window

ドーズ合わせ込み

英語表記:dose matching

ドーパント

英語表記:dopant

ドーパント蒸発法

英語表記:dopant evaporation

ドーピング

英語表記:doping

ドーピング(不純物添加)

英語表記:Doping

ドーピング効果

英語表記:doping effect

ドーピング装置

英語表記:doping system

ドープトオキサイド

英語表記:doped oxide

ドープドオキサイド

英語表記:doped oxide

ドーム型治具

英語表記:dome jig

ドットロギング

英語表記:dot logging

ドナーとアクセプタ(1)

英語表記:

ドナーとアクセプタ(1)

英語表記:

ドナーキラー処理

英語表記:donor killer treatment

ドナー消去 ドナーキラー

英語表記:donor annihilation donor killer

ドライインードライアウト

英語表記:dry-in dry-out

ドライイン・ドライアウト

英語表記:dry in dry out

ドライエッチング

英語表記:Dry Etching

ドライエッチング

英語表記:dry etching

ドライエッチング性

英語表記:dry etching

ドライエッチング装置

英語表記:dry etching system

ドライエッチング装置

英語表記:dry etching equipment

ドライバ

英語表記:driver

ドライホーニング装置

英語表記:dry powder horning machine

ドライポンプ

英語表記:dry vacuum pump

ドライ現像

英語表記:dry developing

ドライ酸化

英語表記:dry oxidation

ドライ洗浄

英語表記:dry cleaning

ドライ洗浄装置

英語表記:dry cleaning   equipment

ドライ洗浄法

英語表記:dry cleaning method

ドラフトチャンバ

英語表記:draft chamber

ドレインボックス

英語表記:drain box

ドレッサ

英語表記:dresser

ドレッシング

英語表記:dressing

ドレッシング

英語表記:dressing

ナノインプリント

英語表記:Nano Imprint

ナノトポロジー

英語表記:nanotopology

ナノメータ描画

英語表記:nanometric lithography

ナローギャップ型RIE装置

英語表記:narrow gap reactive ion etching system

ニアフィールド走査型光学顕微鏡(NSOM)

英語表記:NSOM: Near-field Scanning Optical Microscope

ニュードナー

英語表記:new donor

ニ次元拡散

英語表記:two-dimensional diffusion

ニ次電子放出

英語表記:secondary—electron emission

ネッキング

英語表記:necking

ノズル レジストノズル

英語表記:nozzle

ノズルスキャン

英語表記:nozzle scan

ノックオン

英語表記:knock on

ノックオン効果

英語表記:knock-on effect

ノッチ

英語表記:Notch

ノッチ

英語表記:notchi

ノッチ ノッチング

英語表記:notchi

ノッチ面取り装置

英語表記:wafer notch chamfering machine

ノボラック樹脂

英語表記:novolac resin

ハードケース対応ローダ

英語表記:hardcase adaptable loader

ハーフカット

英語表記:half cutting

ハーフトーン型位相シフトマスク

英語表記:attenuated Phaseーshifting mask, half-tone phase-shifting mask

ハーフトーン型位相シフト露光法

英語表記:attenuated Phaseーshifting method, half-tone phaseshifting method

ハイインピーダンス

英語表記:high-impedance detection function

ハイエネルギーイオン注入装置

英語表記:High Energy Ion Implantation System

ハイドロプレーン現象

英語表記:hydroplane phenomenon

ハイブリットボンダ

英語表記:hybrid bonder

ハイブリッドIC(混成集積回路),ハイブリッドモジュール

英語表記:hybrid IC, hybrid module

ハイブリッドスキャン

英語表記:hybrid scan

ハブブレード

英語表記:hub blade

ハブレスブレード リングブレード

英語表記:hubless blade ring blade

ハロゲンランプ アニール装置

英語表記:halogen lamp annealer

ハロゲン化物消火設備

英語表記:halogenide extinguishing system

ハンドラ オートハンドラ

英語表記:handler autohandler

バーティカルオートドーピング

英語表記:vertical autodoping

バーニング水素焼き

英語表記:burning hydrogen gas burning

バーンインエスケープ

英語表記:burn-in escape

バーンインコントローラ

英語表記:burn-in controller

バーンインストレス機能

英語表記:burn-in stress function

バーンインタイマ

英語表記:burn-in timer

バーンインチャンバ

英語表記:burn-in chamber

バーンイントラッキング トラッキング

英語表記:burn-in tracking

バーンイントレイ

英語表記:burn-in tray

バーンインボード

英語表記:burn-in board

バーンインボードイジェクタ

英語表記:burn-in board ejecter

バーンインボードインサータ

英語表記:burn-in board inserter

バーンインボードチェッカ

英語表記:burn-in board checker

バーンインラック

英語表記:burn-in rack

バーンイン試験

英語表記:burn-in test

バーンイン装置

英語表記:burn-in system

バイアス-温度(B-T)試験

英語表記:Bias-Temperature test

バイアス スパッタリング装置

英語表記:bias sputtering system

バイアススパッタ

英語表記:bias sputter

バイアスプラズマCVD

英語表記:bias plasma CVD

バイナリサーチ バイナリスキャン

英語表記:binary search binary scan

バイパスコンデンサリレードライバ

英語表記:by-pass capacitor relay driver

バイパス漏洩

英語表記:bypass leakage

バイポーラトランジスタ

英語表記:

バウ

英語表記:bow

バキュームパッド

英語表記:vacuum pad

バスタブ曲線

英語表記:bath-tub curve

バッキングパッド

英語表記:backing pad

バッキングフィルム

英語表記:backing film

バッキングプレート

英語表記:backing plate

バックグラインド

英語表記:back grind

バックサイドダメージ

英語表記:Backside Damage :BSD

バックサイドダメージ

英語表記:back side damage

バックサイドダメージ

英語表記:BSD(Backside Damage)

バックサイドプレッシャ

英語表記:back side pressure

バックサイドプレッシャー

英語表記:backside pressure

バックラック

英語表記:back rack

バックリンス

英語表記:back rinse

バックリンス

英語表記:back rinse

バッチ間注入均一性

英語表記:batch-to-batch dose uniformity

バッチ型

英語表記:batch type

バッチ式

英語表記:batch processing

バッチ式洗浄装置 ウェットステーション

英語表記:batch cleaning equipmwnt wet station

バッチ洗浄

英語表記:batch cleaning

バッチ搬送

英語表記:batch transportation

バッファ

英語表記:buffer

バッファ層

英語表記:buffer layer

バドル現像

英語表記:puddle developing

バフィング

英語表記:buffing

バブルリークテスト装置

英語表記:bubble leak tester

バリ

英語表記:burr flash bleed

バリアハイト

英語表記:barrier height

バリアメタル

英語表記:barrier metal

バリアメタル

英語表記:barrier metals

バリアメタルの種類

英語表記:barrier metals

バリ取り

英語表記:deburr

バリ取り装置

英語表記:deflasher

バルク

英語表記:bulk

バルク

英語表記:bulk

バルクガス

英語表記:bulk gas

バルク欠陥

英語表記:bulk defect

バルブマニホールドボックスガス供給制御装置

英語表記:gas supplying system

バレル型アッシング装置

英語表記:barrel acher

バンクコントローラ

英語表記:bank controller

バンドギャッブナロウイング

英語表記:band gap narrowing

バンドギャップファレンス

英語表記:band-gap reference

バンド構造

英語表記:band structure

バンド理論

英語表記:Band Theory

バンプ ワイヤバンプ

英語表記:bump wire bump

バンプ―構造

英語表記:bamboo structure

バンプテスト機能

英語表記:bump test unction

バンプ形成

英語表記:bump formation process

パーシャルエッチング

英語表記:partial etching

パーシャルサイト

英語表記:partial site

パージモード付シリンダバルブ

英語表記:cylinder valve with purge mode

パースペクティブコレクション

英語表記:perspective collection

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクル

英語表記:particle

パーティクルカウンタ ダストカウンタ 光散乱パーティクルカウンタ

英語表記:particle counter dust counter light scattering particle counter

パーティションパネル

英語表記:partition panel

パーティングライン

英語表記:parting line

パーピンテスタ パーピンリソーステスタ

英語表記:per-pin tester per-pin resource tester

パイプライン型A/D変換器

英語表記:pipeline A/D converter

パイロットピン

英語表記:pilot pin location pin

パウダートラップ

英語表記:powder trap

パスボックス

英語表記:pass box

パターンシフト

英語表記:pattern shift

パターンジェネレータ

英語表記:pattern generator

パターンマッチング法

英語表記:pattern matching method

パターンマルチプレクス機能

英語表記:pattern multiplex function

パターン位置精度

英語表記:pattern placement accuracy

パターン依存性

英語表記:pattern dependence

パターン寸法精度

英語表記:dimension accuracy

パターン倒壊

英語表記:pattern collapse

パターン認識 画像認識

英語表記:pattern recognition

パターン発生プログラム

英語表記:pattern generation program

パターン密度依存性

英語表記:pattern density dependence

パッケージ

英語表記:package

パッケージ

英語表記:package

パッケージそり解析

英語表記:Package warpage analysis

パッケージに発生する不良

英語表記:failures in package

パッケージの機能

英語表記:func­tion of package

パッケージの種類

英語表記:variety of package

パッケージの電気特性解析モデル化

英語表記:Package electrical characteristic analysis modeling

パッケージクラック

英語表記:package crack

パッケージテスト ファイナルテスト

英語表記:package test final test

パッケージノイズ解析

英語表記:analysis of package noise

パッケージ各部名称

英語表記:construction of package

パッケージ関連の故障メカニズム

英語表記:failure mechanism related to paskaging

パッケージ関連故障解析手法

英語表記:failure analysis related to pack­aging

パッケージ材料

英語表記:package materials

パッケージ電気的特性

英語表記:package electrical characteristics

パッシベーション

英語表記:passivation

パッドクリープ

英語表記:pad creep

パッド加圧リング

英語表記:pad pressure ring

パドル現像

英語表記:puddle developing

パラレルダウンフローリンス

英語表記:parallel downflow rinse

パラレルビーム

英語表記:parallel beam

パルスヒートツール

英語表記:pulse heated thermode pulse heat tool

パルスマスクテスト

英語表記:pulse mask test

パルスレーザ露光

英語表記:pulse laser exposure method

パルス変調プラズマエッチング装置

英語表記:pulse-time-modulated plasma etching equipment

パルセーションダンパアキュームレータエアチャンバ 

英語表記:pulsation damper accumulator air chamber

パワーMOS FET

英語表記:

ヒートスプレッダ

英語表記:heat spreader

ヒートブロック ヒート駒 ヒートプレート

英語表記:heat block heat plate

ヒストグラムメモリ

英語表記:histogram memory

ヒューズリンク

英語表記:fuse link

ヒロック

英語表記:hillock

ヒロック

英語表記:hilloCk

ヒ素

英語表記:arsenie

ビームエネルギー

英語表記:beam energy

ビームコントロール

英語表記:beam control

ビームドリフト

英語表記:positioning drift

ビームフィルタ

英語表記:beam filter

ビームフォーマ

英語表記:beamformer

ビームポジショナ

英語表記:beam positioner

ビームライン

英語表記:beamline

ビーム安定性

英語表記:beam stability

ビーム位置決め精度

英語表記:beam positioning accuracy

ビーム形状

英語表記:beam shape

ビーム径

英語表記:beam diameter

ビーム収束系

英語表記:beam focusing system

ビーム寸法

英語表記:beam Size

ビーム寸法精度

英語表記:beam Size accuracy

ビーム電流量 イオン電流量

英語表記:beam current ion current

ビアファースト

英語表記:via first

ビアホール

英語表記:via hole

ビットエラーレートテスト

英語表記:bit error rate test

ビットシリアル演算

英語表記:bit serial operational operation

ビデオ信号測定機能

英語表記:vodeo signal measurement

ビトリファイド研削といし

英語表記:vitrified grinding wheel

ビルディングブロック

英語表記:building block

ビルドアップ基板

英語表記:build-up substrate

ビルドアップ基板

英語表記:build up PCB

ピボットディスペンスノズル

英語表記:pivot dispense nozzle

ピラー

英語表記:pillar

ピンエレクトロイクス

英語表記:pin electronics

ピンチカット

英語表記:pinch cutting

ピンチャック

英語表記:pin chuck

ピンホール漏洩

英語表記:pinhole leakage

ピンマルチプレクス機能

英語表記:pin-multiplex function

ピンモニタ

英語表記:pin monitor

フーリエ変換赤外分光法

英語表記:Fourier Transform Infra-Red Spectroscopy

フーリエ変換赤外分光法 FT-IR

英語表記:Fourier transform infrared spectroscopy

フーリエ変換赤外分光法(FT-IR法)

英語表記:Fourier-Transform infrared spectroscopy

ファーネス移動ストローク

英語表記:furnace lift travel

ファイナルウェーハテスト ポストテスト

英語表記:final-wafer test post test

ファイナルエナジー マグネット FEM

英語表記:final energy magnet

ファインアライメント

英語表記:fine alignment

ファインアライメント

英語表記:fine alignment

ファインピッチ

英語表記:fine pitch

ファインリークテスト

英語表記:fine leak testing

ファウンドリ

英語表記:Foundry

ファセット

英語表記:facet

ファセット

英語表記:facet

ファブレス

英語表記:Fabless

ファミリボックス ファミリヘッド

英語表記:family box family head

ファラデーカップ

英語表記:Farady Cups

ファラデー系

英語表記:faraday system

ファンデアポー法

英語表記:Van der Pauw method

ファンフィルタユニット

英語表記:fan filter unit

フィジカル変換 ロジカル変換

英語表記:physical conversion logical conversion

フィゾー干渉計方式

英語表記:Fizeau interferometer method

フィックの法則

英語表記:Fick'slaw

フィデューシャルマーク

英語表記:fiducial mark

フィレット

英語表記:fillet

フェーズクロック

英語表記:phase clock

フェイスダウンボンディング

英語表記:face down bonding face bonding

フェイルビットマップ

英語表記:fail bit map

フェイルマーク

英語表記:fail mark

フェイルマークインスペクション インクドットインスペクション

英語表記:fail-mark inspection ink dot inspection

フェルミ準位

英語表記:Fermi level

フォーウェイ方式

英語表記:four-way

フォーマッタ

英語表記:formatter

フォーミングガス

英語表記:forming gas mixed gas

フォトダイオード

英語表記:Photo Diode

フォトプロセスでの露光光源

英語表記:

フォトリソグラフィの原理

英語表記:

フォトルミネセンス法

英語表記:photoluminescence spectroscopy

フォトレジスト・スピンコーティング

英語表記:Photo-resist Spin Coating

フォトレジストのプロセス

英語表記:

フォノン散乱

英語表記:phonon scattering

フックアップ

英語表記:hook up

フットプリント

英語表記:foot print

フッ化不動態処理

英語表記:fluorine passivation treatment

フッ酸

英語表記:hydrofluoric acid

フッ酸

英語表記:fluoric acid

フッ酸ベーパ

英語表記:hydrofluooric acid vapor

フッ素ドープSiO₂

英語表記:SiO₂ film fluorine doped silicon dioxide

フッ素樹脂

英語表記:FP : Fluoropolymer

フッ素添加シリコン酸化膜(SiOF膜)

英語表記:fluorine doped Silicon oxide

フュームドシリカ

英語表記:fumed silica

フラクタル構造

英語表記:fractal structure

フラックス

英語表記:flux

フラッシュメモリ

英語表記:

フラッシュメモリ E/W サイクル試験装置

英語表記:flash memory E/W cycle test system

フラッシュランプ アニール装置

英語表記:flash lamp annealer

フランク・リード源

英語表記:Frank-Read- source

フランジ

英語表記:flange

フリーアクセスフロア

英語表記:free access floor

フリッカ雑音

英語表記:fliker noise

フリップチップボンダ

英語表記:flip chip bonder

フリップチップボンディング

英語表記:flip chip bonding

フリップチップボンディング フリップチップボンダ

英語表記:flip chip bonding flip chip bonder

フリップチップ方式

英語表記:flip chip bonding

フリップフロップ

英語表記:

フルカット

英語表記:full cutting

フルサイト

英語表記:full site

フレームアベレージング

英語表記:frame averaging

フレームセンサ

英語表記:flame sensor

フレーム整列装置

英語表記:lead frame loader

フレーム搬送

英語表記:flame handling

フレキシブルプリント基板

英語表記:flexible printed wiring board

フレネル回折

英語表記:Fresnel diffraction

フローショップ

英語表記:flow shop

フローティングゾーン法 FZ法 浮遊帯域溶融法

英語表記:floating zone melting method

フロートポリシング

英語表記:float polishing

フロントサイドゲッタリング

英語表記:Front Side Gettering: FSG

ブライトエッチング

英語表記:bright etching

ブラシスクラバ

英語表記:brush scrubbing

ブラシスクラバ スクラブ洗浄機

英語表記:brush scrubber

ブラシ洗浄

英語表記:brush scrubbing

ブラッシング

英語表記:brushing

ブラッシング

英語表記:brushing

ブラッシング装置

英語表記:brushing machine

ブランカアレー

英語表記:blanker array

ブリッジ

英語表記:bridge failure, solder bridge

ブリュアンゾーン

英語表記:Brillouin zone

ブルーミング試験

英語表記:blooming test

ブレーキング装置

英語表記:wafer breaking equipment

ブレークスルー

英語表記:break through

ブレークダウン

英語表記:air break down

ブレード

英語表記:blade

ブレード

英語表記:blade

ブレードタイププロービングカード

英語表記:blade type probing card

ブレード原点セット カッタセット

英語表記:blade height calibration

ブレード張り上げ

英語表記:tensioning the blade

ブレード突き出し量

英語表記:blade exposure

ブレード破損検出装置

英語表記:blade breakage detection device

ブレード変位

英語表記:blade deflection

ブレード変位計

英語表記:blade deflection sensor

ブレード摩耗補正

英語表記:blade wear compensation

ブレイクイン

英語表記:break in

ブロック・ダイアグラム

英語表記:

ブロックカット

英語表記:block cut

ブロックホスト

英語表記:block host

ブロック浮動小数点演算

英語表記:block floating point calculation

プッシュプル回路

英語表記:

プラグ

英語表記:plug

プラスチックパッケージの組立プロセス

英語表記:assembly process for plastic package

プラズマ

英語表記:Plasma

プラズマCVD

英語表記:plasma - enhanced Chemical Vapor Deposition

プラズマCVD

英語表記:PECVD : Plasma Enhanced Chemical Vapor Deposition

プラズマCVD装置

英語表記:plasma enhanced CVD system

プラズマTEOS CVD

英語表記:plasma TEOS CVD

プラズマX線源

英語表記:plasma X-ray source

プラズマアッシング装置

英語表記:plasma ashing system

プラズマエッチング装置

英語表記:plasma etching system

プラズマダウンフロー

英語表記:plasma downflow

プラズマダウンフロー処理

英語表記:plasma downflow treatment

プラズマダメージRIE_Damage(損傷)

英語表記:Plasma Damage

プラズマドーピング

英語表記:plasma doping

プラズマドーピング装置

英語表記:plasma doping system

プラズマフラッドガン PFG

英語表記:plasma flood gun

プラズマ汚染

英語表記:plasma contamination

プラズマ源

英語表記:plasma source

プラズマ酸化

英語表記:plasma oxidation

プラズマ酸化

英語表記:plasma oxidation

プラズマ振動

英語表記:plasma vibration

プラズマ振動法

英語表記:plasma oscillation method

プラズマ洗浄

英語表記:plasma cleaning

プラズマ洗浄装置

英語表記:plasma cleaning equipment

プラズマ損傷

英語表記:plasma damage

プラズマ窒化

英語表記:plasma nitridation

プラズマ電位

英語表記:plasma potential

プラズマ内電位

英語表記:plasma inside potential

プラズマ薄膜化法

英語表記:plasma assisted thinning method

プラズマ分離型 マイクロ波 プラズマCVD装置

英語表記:after glow microwave plasma enhanced CVD system

プラズマ分離型プラズマエッチング装置

英語表記:down stream plasma etching system

プラズマ陽極酸化装置

英語表記:plasma oxidations system

プラテン

英語表記:platen

プラテン

英語表記:platen

プラテン

英語表記:platen

プラナリゼーション

英語表記:planarization

プランジャ

英語表記:plunger

プランジャ等圧機構

英語表記:transfer pressure balancing mechanism

プリアモルファス化

英語表記:pre-amorphization

プリアライメント

英語表記:pre alignment

プリアライメント

英語表記:pre-alignment

プリアライメント

英語表記:prealignment

プリアンプ

英語表記:preamplifier

プリウェーハテスト プリテスト

英語表記:pre-wafer test pre test

プリウェット

英語表記:pre-wet

プリクリーニング チャンバ

英語表記:pre-cleaning chamber

プリスパッタリング

英語表記:pre-sputtering

プリチャージ

英語表記:precharge

プリディスペンス ダミーディスペンス プライムディスペンス

英語表記:pre-dispense dummy-dispense prime-dispense

プリパージ

英語表記:pre-purge

プリヒータ

英語表記:pre-heater

プリフォーマ

英語表記:preformer

プリベーク ソフトベーク PAB

英語表記:pre-bake soft-bake post-apply-bake

プルカット

英語表記:pull cut

プルテスタ

英語表記:pull tester

プルテスト 引張強度

英語表記:pull test

プレストンの式

英語表記:Preston's Equation

プレナム

英語表記:plenum

プローブカード

英語表記:probing card

プローブカード コンタクトプローブ

英語表記:probe card contact probe

プローブマークインスペクション 針跡インスペクション

英語表記:probe-mark inspection

プローブ針 プローブニードル

英語表記:probe needle

プロキシミティベーク

英語表記:proximity bake

プロジェクションアライナ

英語表記:projection aligner

プロジェクテッドレンジ(投影)

英語表記:Projected Range:Rp

プロセス インデュースト パーティクル カウンタ

英語表記:process induced particle counter

プロセスインテグレーション

英語表記:process integration

プロセスインテグレーション

英語表記:process integration

プロセスウィンドウ

英語表記:process window

プロセスエリア

英語表記:process area

プロセスカップ 洗浄カップ スクラブステーション

英語表記:process cup

プロセスシミュレーション

英語表記:process simulation

プロセスチューブ

英語表記:process tube

プロセスデータ

英語表記:process data

プロセスレシピ

英語表記:process recipe

プロセス温度

英語表記:process temperature

プロセス管理システム

英語表記:process management system

プロセス余裕度

英語表記:process margm, process latitude

プロセス用排ガス処理装置

英語表記:exhaust gas abatement equipment for processor

プロセス要因

英語表記:process induced error

プロダクトミックス

英語表記:product mix

ヘイズ

英語表記:haze

ヘイズ

英語表記:haze

ヘキソード型RIE装置

英語表記:hexode type reactive ion etching system

ヘッド間均一性 HTH

英語表記:head to head uniformity

ヘテロ接合

英語表記:hetero junction

ヘリウムリークデテクタ

英語表記:helium leak detector

ヘリカル型エッチング装置

英語表記:herical type etching equipment

ヘリコン波エッチング装置

英語表記:helicon etching system

ヘリコン波エッチング装置

英語表記:hericon wave etching equipment

ヘリコン波プラズマ

英語表記:helicon wave plasma

ベーキング装置

英語表記:baking unit

ベースライン

英語表記:base line

ベーパフェーズ装置

英語表記:VPS equipment, Vapor Phase Soldering equipment

ベーパフェーズ法

英語表記:Vapor Phase Method

ベアチップ

英語表記:ベアチップ

ベアチップ実装

英語表記:bare chip assembly

ベイシステム

英語表記:bay system

ベクトル相関法

英語表記:vector correlation method

ベストフィット基準

英語表記:best fit plane reference

ベベル

英語表記:bevel

ベベルカット

英語表記:bevel cutting

ベルジャ

英語表記:beljar

ベルトレス搬送

英語表記:beltless transfer system

ベルヌーイチャック

英語表記:Bernoulli chuck

ページテスト機能

英語表記:page test function

ペデスタル

英語表記:pedestal

ペニングイオン化電子分光

英語表記:Penning ionization spectroscopy

ペリクル

英語表記:Pellicle

ペリクル保護膜

英語表記:pellicle fiilm

ホール効果

英語表記:Hall effect

ホール効果

英語表記:Hall effect

ホーロー基板

英語表記:porcelain enamel substrate

ホイスカ

英語表記:whisker

ホウ素

英語表記:boron

ホウ素ゲッタリング

英語表記:laser Scattering Tomography Defect

ホスト

英語表記:host

ホットウォール

英語表記:hot wall

ホットキャリア

英語表記:

ホットキャリア試験

英語表記:hot -carrier test

ホットゾーンパーツ 加熱構成部品

英語表記:hot zone parts

ホットチャック ヒートアップステージ

英語表記:hot chuck heat up stage

ホットプレートはんだ付け

英語表記:hot plate soldering

ホットプレートオーブン

英語表記:hot plate oven

ホローファイバ型逆浸透膜モジュール 中空糸型逆浸透膜モジュール

英語表記:hollow fiber type reverse osmosis menbrane module

ホワイトバランス処理

英語表記:white balance

ボーイング

英語表記:bowing

ボーダレス配線

英語表記:borderless wiring

ボート

英語表記:boat

ボート

英語表記:boat

ボートエレベータ

英語表記:boat elevator

ボートトランスファ

英語表記:boat transfer

ボートハンドラ

英語表記:boat handler

ボートローダ

英語表記:boat loader

ボート移動ストローク

英語表記:boat lift travel

ボート回転機構

英語表記:boat rotation mechanism

ボードインサートチェック機能

英語表記:board insert check function

ボーム条件

英語表記:Bohm's condition

ボールシアテスタ

英語表記:ball shear tester

ボールシア強度 ボンドシア強度

英語表記:ball shere strength

ボールバンプ法

英語表記:ball bump method for bump formation

ボールボンディング ボールボンダ

英語表記:ball bonding ball bonder nail head bonder

ボール圧着径

英語表記:squashed ball diameter

ボール形状

英語表記:ball shape

ボイド

英語表記:void

ボイド

英語表記:void

ボイド

英語表記:void

ボイド

英語表記:void

ボイド

英語表記:void

ボイド、ボイドフリー

英語表記:void, void free

ボディ

英語表記:body

ボロンレスフィルタ

英語表記:boron free filter

ボンディングスピード

英語表記:bonding speed

ボンディングパッド パッド  

英語表記:bonding pad

ボンディングパラメータ

英語表記:bonding parameter

ボンディングヘッド

英語表記:bonding head

ボンディングワイヤ

英語表記:bonding wire

ボンディング荷重

英語表記:bonding force

ボンディング精度

英語表記:bonding accuracy

ボンディング長さ ボンディングスパン

英語表記:bonding length

ボンド法

英語表記:Bond method

ポーラスシリカ

英語表記:porous Silica

ポーラス型イオン交換 樹脂 MR型イオン交換樹脂

英語表記:porous type ion exchange resin macro reticular type ion exchange resin

ポアソン比

英語表記:Poisson's ratio

ポイゾンドビア

英語表記:poisoned Via

ポゴコンタクト ポゴピン

英語表記:POGO contact POGO pin

ポストベーク アフタベーク ハードベーク

英語表記:post -bake after-bake hard-bake

ポッティング

英語表記:Potting

ポッティング樹脂

英語表記:potting resin

ポッティング装置

英語表記:potting equipment

ポット

英語表記:pot

ポッド

英語表記:pod

ポッドオープナー

英語表記:pod opener

ポッピング

英語表記:popping

ポリイミド

英語表記:PI : Polyimide

ポリイミド塗布

英語表記:polyimide coating

ポリゴンレンダリング

英語表記:polygon rendering

ポリサイド

英語表記:policide

ポリサイド膜

英語表記:polycide film

ポリシュストップ

英語表記:polish stop

ポリシリコン(多結晶シリコン)

英語表記:

ポリシング

英語表記:polishing

ポリシングスラリー

英語表記:polishing slurry

ポリシングスラリーの分散性

英語表記:dispersibility of polishing slurry

ポリッシャ

英語表記:polisher

ポリッシュ レート

英語表記:polish rate

ポリッシング

英語表記:polishing

ポリッシング(鏡面研磨)

英語表記:Polishing

ポリバックシール

英語表記:PBS (Poly Back Seal)

ポリ結晶 多結晶

英語表記:polycrystal

マーキング

英語表記:marking

マーキング

英語表記:marking

マーキングインク

英語表記:marking ink

マーキングエリア マーキング面積

英語表記:marking area

マーキングプローバ

英語表記:marking prober

マーキングマシン

英語表記:marking machine

マーキング強度 捺印強度

英語表記:marking strength

マーキング光学系

英語表記:marking opptics

マーキング速度

英語表記:marking speed

マーク検出

英語表記:mark detection

マイクロカラム

英語表記:nucrocolumn

マイクロシャロービット

英語表記:MSP:Micro Shallow Pit

マイクロスピリット

英語表記:microsplit

マイクロバブル

英語表記:micro bubble

マイクロプログラム

英語表記:micro program

マイクロプロセッサのマルチメディア拡張

英語表記:multimedia extensions for microprocessors

マイクロラフネス

英語表記:micro-roughness

マイクロラフネス

英語表記:micro-roughness

マイクロラフネス

英語表記:microroughness

マイクロラフネス

英語表記:microroughness

マイクロローディング効果

英語表記:Micro Loading Effect

マイクロローディング効果 RIEラグ

英語表記:microloading effect RIE lag

マイクロ波 プラズマCVD装置

英語表記:microwave plasma enhanced CVD system

マイケルソン干渉法

英語表記:Michelson interference method

マイコン、MCU

英語表記:

マウスホール

英語表記:mouse hole

マウンタ

英語表記:mounter

マウント装置 ウェーハ貼付機

英語表記:mounting machcine mount station

マウント板

英語表記:mount plate top plate block carrier plate

マガジン

英語表記:magazine

マガジン

英語表記:magazine

マガジンスタッカ

英語表記:magazine stacker

マクロ成長(ストリエーション)

英語表記:macro growth (striation)

マグネトロン

英語表記:magnetron

マグネトロン

英語表記:magnetron

マグネトロン スパッタリング装置

英語表記:magnetron sputtering system

マグネトロン型RIE装置

英語表記:magnetron enhanced reactive ion etching system

マグネトロン型RIE装置

英語表記:magnetron type RIE equil)ment

マグネトロン放電

英語表記:magnetron discharge

マスキングブレード レチクルブラインド

英語表記:masking blade reticle blind

マスク

英語表記:mask

マスク

英語表記:mask

マスク/レチクル

英語表記:mask/reticle

マスク・ブランクス

英語表記:Mask Brancks

マスクバイアス

英語表記:mask bias

マスクリニアリティ

英語表記:mask linearity

マスク欠陥検査

英語表記:mask defect inspection

マスク欠陥修正

英語表記:mask defect repair

マスク材工ッチング

英語表記:mask material etching

マスク自動チェンジャ

英語表記:mask auto changer

マスク寸法

英語表記:mask dimension

マスク製作

英語表記:

マスク描画

英語表記:photo mask writing