Ⅱ-Ⅵ族化合物半導体
英語表記:Ⅱ-Ⅵ Compound Semiconductors
詳細
Ⅲ-Ⅴ族化合物半導体
英語表記:three-five compound semiconductor
詳細
Ⅳ族半導体
英語表記:group Ⅳ semiconductors
詳細
1探針法
英語表記:one-point probe method
詳細
2パステスト
英語表記:two-pass testingdouble insertion testing
詳細
2ボート装置
英語表記:two boat system
詳細
2極スパッタリング装置
英語表記:diode sputtering system
詳細
2次イオン質量分析法
英語表記:Secondary Ion Mass Spectrometry
詳細
2床3塔型純水装置 2B3T型純水装置
英語表記:two bed three tower deionizing equipmenttwo bed three tower demineralizing equipment
詳細
2進表現
英語表記:binary code expression
詳細
3D-NANDフラッシュメモリ
英語表記:3D-NAND flash memory
詳細
3Dグラフィックス用LSI
英語表記:LSI for three Dimension graphics
詳細
3点基準
英語表記:three points reference
詳細
4探針法
英語表記:four-point probe method
詳細
A/D変換器
英語表記:A/D Converter
詳細
A/D変換器
英語表記:A/D converter
詳細
ABCパラメータ
英語表記:ABC parameter
詳細
ACF 異方性導電膜
英語表記:anisotropic conductive film
詳細
ACパラメトリックテスト ACテスト
英語表記:AC parametric testAC test
詳細
AC特性
英語表記:AC characteristics
詳細
AD-DA変換機
英語表記:AD-DA converter
詳細
AFM
英語表記:atomic force microscope
詳細
AGA EGA
英語表記:advanced global
alignment
enhanced global
alignment
詳細
AGV 自走型搬送車
英語表記:automatic guided
vehicle
詳細
ALC アルゴリズムコントローラ
英語表記:algorithm controller
詳細
ALD(原子層堆積)
英語表記:Atomic Layer Deposition
詳細
APM洗浄
英語表記:ammonia-hydrogen peroxide mixture cleaning
詳細
ASIC
英語表記:application specific integration circuit
詳細
ASSP
英語表記:Application Specific Standard Product
詳細
ATE テスタ
英語表記:automatic test
equipment
test system
詳細
ATPG
英語表記:automatic test
program generator
詳細
ATスピードテスト
英語表記:at speed test
詳細
AWG 波形シンセサイザ
英語表記:arbitrary wave form
generator
詳細
AlN基板(窒化アルミニウム基板)
英語表記:aluminum nitride substrate
詳細
Al高圧リフロー
英語表記:Al high pressure reflow filling
詳細
BBレシオ
英語表記:Book-to-Bill Ratio
詳細
BESOI
英語表記:Bond Etch SOL
詳細
BGA
英語表記:Ball Grid Array
詳細
BGA
英語表記:ball grid array
詳細
BGA(Ball Grid Array)の組立プロセス
英語表記:assembly process of BGA
詳細
BIST(ビスト)
英語表記:Built in Self Test
詳細
BMD
英語表記:Bulk Microdefect
詳細
BPSGリフロー
英語表記:reflow of boro phospho silicate glass
詳細
BiCMOS
英語表記:Bipolar Complementary MOS
詳細
C-V法
英語表記:Capacitance-Voltage method
詳細
CAD
英語表記:Computer Aided Design
詳細
CCD
英語表記:Charge Coupled Device
詳細
CEマーキング
英語表記:CE marking
詳細
CIM
英語表記:computer integrated
manufacturing
詳細
CIMアプリケーションフレームワーク
英語表記:CIM application
frame work
詳細
CMOS
英語表記:complementary metal oxide semiconductor
詳細
CMOSの構造
英語表記:Complementary Metal Oxide Semiconductor
詳細
CMOSイメージセンサ
英語表記:CMOS image sensor
詳細
CMP
英語表記:chemical mechanical
polishing
chemical mechanical
planarization
詳細
CMP
英語表記:Chemical Mechanical Polishing
詳細
CMP
英語表記:chemical Mechanical Polishing
詳細
CMP (化学的機械研磨)
英語表記:Chemical Mechanical Polishing
詳細
CMP後洗浄
英語表記:post-CMP cleaning
詳細
CMP後洗浄
英語表記:cleaning after CMP
詳細
CMP工程の欠陥評価手法
英語表記:defect evaluation method of CMP process
詳細
CMP廃水処理装置
英語表記:CMP waste water treatment equipment
詳細
CNC 凝縮核測定器
英語表記:condensation
nucleus counter
詳細
CO2バブラ
英語表記:CO2bubbler
詳細
COB
英語表記:Chip On Board
詳細
COB COG
英語表記:chip on board
ship on glass
詳細
COO
英語表記:Cost Of Ownership
詳細
COO
英語表記:cost of ownership
詳細
COO
英語表記:cost of ownership
詳細
COP
英語表記:crystal originated particle
詳細
COP
英語表記:crystal originated particle
詳細
CPU、MPU
英語表記:Central Processing Unit、Microprocessing Unit
詳細
CSP
英語表記:Chip Size Package, Chip Scale Package
詳細
CSP
英語表記:chip size package
詳細
CVD
英語表記:chemical vapor deposition
詳細
CVD装置
英語表記:chemical vapor deposition system
詳細
CVD有機シリコン酸化膜
英語表記:CVD organic silicon oxide
詳細
CZ法
英語表記:Czochralski method
詳細
CZ法Si単結晶育成装置
英語表記:CZ method Si single crystal growth equipment
詳細
CZ法(チョクラルスキ法)
英語表記:Czochralski Method
詳細
Cat CVD装置 触媒CVD装置
英語表記:catalyst CVD system
詳細
Crマスク
英語表記:Cr on glass mask
詳細
Cu膜めっき
英語表記:copper electrolytic, electroless deposition
詳細
D/A 変換器
英語表記:D/A converter
詳細
D/A変換器
英語表記:D/A Converter
詳細
DART
英語表記:distribution analysis
in realtime
詳細
DCパラメトリックテスト DCテスト
英語表記:DC parametric testDC test
詳細
DCパラメトリックテストシステム
英語表記:DC parametric test system
詳細
DC測定ユニット
英語表記:DC mesurement unit
詳細
DFE
英語表記:design for
environment
詳細
DFT
英語表記:Design for Testability
詳細
DGS
英語表記:device ground sence
詳細
DHF洗浄
英語表記:dolute hydrogen fluoride cleaning
詳細
DIP
英語表記:Dual In-line Package
詳細
DLTS
英語表記:Deep Level Transient Spectroscopy
詳細
DLTS
英語表記:deep level transient spectroscopy
詳細
DOP粒子 DOP
英語表記:DOP particledioctyl phthalate particle
詳細
DOS粒子 DOS
英語表記:DOS particledioctyl sebacate particle
詳細
DOWN TIME
英語表記:down time
詳細
DRAM
英語表記:dynamic random access memory
詳細
DRAM
英語表記:Dynamic Random Access Memory
詳細
DSA
英語表記:Directed Self Align
詳細
DSP
英語表記:Digital Signal Processor
詳細
DSP デジタルシグナルプロセッサ
英語表記:digital signal
processor
詳細
DUT
英語表記:device under test
詳細
DUTボード ソケットボード テストボード ロードボード パフォーマンスボード フィクスチャボード マザーボード DUTーI/F DIB
英語表記:DUT boardsocket boardtest boardload boardperformance boardfixture boardmother boardDUT interfacedevice interface board
詳細
DUT電源 DPS バイアス電源
英語表記:DUT power supplydevice power supply
詳細
DWウェーハ
英語表記:diffused wafer
詳細
Dashエッチング
英語表記:Dash etching
詳細
Deal-Groveモデル
英語表記:Deal-Grove model
詳細
Double Patterning(1)
英語表記:Double Patterning
詳細
Double Patterning(2)
英語表記:Double Patterning
詳細
Dynamic-SIMS
英語表記:Dynamic-Secondary Ion Mass Spectroscopy
詳細
EBテスタ法
英語表記:EB (Electron Beam) tester method
詳細
EBデータ変換
英語表記:EB data converston
詳細
ECR エッチング装置
英語表記:electron cyclotron resonance etching system
詳細
ECR スパッタリング装置
英語表記:electron coupling resonance sputtering system
詳細
ECR プラズマCVD装置
英語表記:electron coupling resonance plasma enhanced CVD system
詳細
ECRエッチング装置
英語表記:Electron Cyclotron Resonance Plasma Etcher
詳細
ECRスパッタリング
英語表記:Electron Cyclotron Resonance sputtering
詳細
ECRプラズマCVD
英語表記:Electron Cyclotron Resonance plasma CVD
詳細
EDTA
英語表記:ethylendiamin tetra acetic acid
詳細
EEMポリシング
英語表記:elastic emmision machining
詳細
EL 2準位
英語表記:EL 2 level
詳細
ELID研削
英語表記:electrolytic in process dressing grinding
詳細
ELTRAN
英語表記:Epitaxial Layer Transfer
詳細
EMS 環境マネジメントシステム
英語表記:enronmental
management
system
詳細
EPD
英語表記:Htch Pit Density
詳細
ES
英語表記:Engineering Sample
詳細
ESD
英語表記:Electro-Static Discharge, Electro-Static Destroy
詳細
ESD保護
英語表記:electrostatic discharge protection
詳細
ESH EHS
英語表記:environment safety
and health
environmental
health and safety
詳細
EUVの光源
英語表記:Extremly Ultra Violet
詳細
EUV露光リソグラフィ
英語表記:Extremly Ultra Violet Lithography
詳細
FA対応バーンイン装置
英語表記:corresponding burn -in system of factory automation
詳細
FDーSOI(完全空乏型SOI)
英語表記:Fully Depleted Silicon on Insulator
詳細
FET(電界効果トランジスタ)
英語表記:Field Effect Transistor
詳細
FIB法
英語表記:FIB (Focused Ion Beam) method
詳細
FPD
英語表記:flow pattern defect
詳細
FPD GFLD GF3D
英語表記:focal plane deviation gloval front least-squares deviation gloval front three points deviation
詳細
FPGA
英語表記:Field Programmable Gate Array
詳細
FPM洗浄
英語表記:hydrofluoric acid-hydrogen peroxide mixture cleaning
詳細
FQA 平坦度適用領域
英語表記:fixed quality
詳細
FRー4
英語表記:FR-4
Fiber Reinforced-4
詳細
FSG
英語表記:fluorine doped silicate glass
詳細
FT-IR (フーリエ変換赤外分光法)
英語表記:Fourie Transform Infrared spectroscopy
詳細
FUSI
英語表記:Fully Silicided
詳細
FZ装置
英語表記:FZ equipment
詳細
FZ法
英語表記:Floating Zone method
詳細
FeRAM構造
英語表記:FeRAM structure
詳細
FinFET(Tri Gate MOS)
英語表記:
詳細
GBIR TTV
英語表記:global backside ideal range total thickness variation
詳細
GEM
英語表記:generic equipment
model
詳細
GEM
英語表記:Generic Equipment Model
詳細
GO/NO-GO試験 PASS/FAIL試験
英語表記:GO/NO-GO testPASS/FAIL test
詳細
HALO/ポケット
英語表記:HALO/ pocket
詳細
HAST プレッシャクッカ
英語表記:highly accelerated
temperature and
humidity stress
test
pressure cooker
詳細
HAST(高温高湿ストレス試験)
英語表記:Highly Accelerated Stress Test
詳細
HDL
英語表記:Hardware Description Language
詳細
HDP-CVD
英語表記:high density plasma CVD
詳細
HEPAフィルタ
英語表記:high efficiency particulate air filterHEPA filter
詳細
HFキット
英語表記:High Frequency kit
詳細
HF治具
英語表記:high frequency test head interface
詳細
HF蒸気洗浄装置
英語表記:HF vapor cleaninge equipmen
詳細
HPM洗浄
英語表記:hydrochloric acid-hydrogen peroxide mixture cleaning
詳細
HSG
英語表記:hemi spherical grained
詳細
HSMS
英語表記:High-speed SECS Message Services
詳細
HSMS
英語表記:high speed SECS
message service
詳細
HSQ
英語表記:Hydrogensilsesqunoxane
詳細
Half pitchとNode
英語表記:Half pitch and Node
詳細
High-k膜(高誘電率膜)
英語表記:High-k film (high dielectric constant film)
詳細
ICP-MS
英語表記:inductively coupled
plasma mass
spectrometer
詳細
ICTS
英語表記:Isothermal Capacitance Transient Spectroscopy
詳細
ICの機能試験
英語表記:Functional testing of ICs
詳細
IC内部回路
英語表記:IC internal circuit
詳細
IDDQ試験 静止電源電流試験
英語表記:IDDQ testquiescent power supply current test
詳細
IDM
英語表記:Integrated Device Manufacturer
詳細
IDブレード
英語表記:inner diameter blade
詳細
IGBT
英語表記:Insulated Gate Bipolar Transistor
詳細
IMEC
英語表記:Interuniversity MicroelectronicsCenter
詳細
IMS基板(金属系絶縁基板)
英語表記:Insulated Metal Substrate
詳細
IPAべーパ乾燥
英語表記:IPA Vapor/Dry
詳細
IPAマランゴニ乾燥
英語表記:IPA marangoni drying
詳細
IPA回収・再生装置
英語表記:isopropyl alcohol reprocessor
詳細
IPA乾燥
英語表記:IPA vapor drying
詳細
IPA乾燥
英語表記:isopropyl alcohol drying
詳細
IPA蒸気乾燥
英語表記:IPA vapor drying
詳細
IPVD
英語表記:ionized physical vapor deposition
詳細
IPプロバイダ
英語表記:Intellectual Property (IP)Provider
詳細
IRLAS(赤外半導体レーザ吸収分光)
英語表記:infrared diode laser absorption spectroscopy
詳細
ISSCC
英語表記:International Solid-State Circuits Conference
詳細
ITOX
英語表記:internal thermal oxidation
詳細
International SEMATECH
英語表記:International SEMATECH
詳細
Ir、IrO2
英語表記:iridium, iridium dioxide
詳細
I/Oピン ドライバ/コンパレータ
英語表記:IOpindriver/comparator
詳細
I/O切り換え時間
英語表記:I/Oswitching transition
詳細
I/Q位相エラー測定
英語表記:I/Q phase error measurement
詳細
JEITA
英語表記:Japan Electronics antd Information Technology Industries Association
詳細
KGD
英語表記:known good die
詳細
LAN
英語表記:local area network
詳細
LCA
英語表記:life cycle
assessment
詳細
LCCO₂
英語表記:life cycle CO₂
詳細
LDD
英語表記:Lightly Doped Drain
詳細
LED
英語表記:Light Emitting Diode
詳細
LER(ライン エッジ ラフネス)
英語表記:LER (Line Edge Roughness)
詳細
LOCOS
英語表記:local oxidation of silicon
詳細
LPDモード(Light Point Defect)面の粗さ
英語表記:roughness by LPD mode
詳細
LSTD
英語表記:Laser Scattering
Tomography
Defect
詳細
LSTD
英語表記:laser scattering tomograply defect
詳細
LTV
英語表記:local thickness variation
詳細
Linear Parabolicモデル
英語表記:Linear Parabolic model
詳細
MCBF
英語表記:mean cycle between
failure
詳細
MCL
英語表記:metal contaminationlevel
詳細
MCM-C
英語表記:Multi Chip Module Cofired ceramics
詳細
MCM-D
英語表記:Multi Chip Module Deposited dielectric
詳細
MCM-L
英語表記:Multi Chip Module organic Laminates
詳細
MCM(マルチチップモジュール)
英語表記:Multi Chip Module
詳細
MCZ結晶引き上げ装置
英語表記:MCZ crystal growth equipment
詳細
MCZ法
英語表記:magnetic field applied Czochralski method
詳細
MCZ法
英語表記:Magnetic Field Applied CZ法
詳細
MOCVD
英語表記:metal organic CVD
詳細
MOS FET
英語表記:Metal Oxide Semiconductor Field Effect Transistor
詳細
MPU
英語表記:micro processor unit
詳細
MRAM(1)
英語表記:MagnetoresistiveRandom Access Memory
詳細
MRAM(2) (STT-MRAM)
英語表記:
詳細
MSDS
英語表記:material safety
詳細
MTBF
英語表記:mean time between failure
詳細
MTTR
英語表記:mean time to repair
詳細
MWBA
英語表記:mean wafers between asist
詳細
MWBF
英語表記:mean wafers between failure
詳細
Mixed Signal LSI
英語表記:
詳細
Mアルカリ度 酸消費量
英語表記:M-alkalinity alkalinity
詳細
N20窒化
英語表記:Nitrous Oxide nitridation
詳細
N2O窒化
英語表記:N2O nitridation of silicon oxide
詳細
NANDフラッシュメモリ
英語表記:NAND Flash memory
詳細
NA 開口数
英語表記:numerical aperture
詳細
NC制御面取装置
英語表記:NC-control chamfering machine
詳細
NDウェーハ
英語表記:neutro transmutation dopingwafer
詳細
NEDIA
英語表記:Nippon Electronic Device Industry Association
詳細
NH3 窒化
英語表記:ammnium nitridation
詳細
NO酸窒化
英語表記:NO nitridation of silicon oxide
詳細
NO窒化
英語表記:Nitric Oxide nitridation
詳細
OBIC法
英語表記:OBIC : Optical Beam Induced Current
詳細
OBIRCH法
英語表記:OBIRCH method
詳細
OCR
英語表記:optical code reader
詳細
OC曲線
英語表記:Operating Characteristic curve
詳細
ODブレード
英語表記:outer diameter blade
詳細
OF加工
英語表記:Orientation Flat marking
詳細
OPP
英語表記:Optical Precipitate Profiler
詳細
OSAT
英語表記:Outsourced Semiconductor Assembly & Test
詳細
OSF
英語表記:oxidation induced stacking fault
詳細
P/n測定器
英語表記:P/n type measurement system
詳細
PACE
英語表記:Plasma Assisted Chemical Etching
詳細
PBS Poly-silicon Back Seal
英語表記:PBS
Poly-silicon Back Seal
詳細
PCB
英語表記:printed circuit board
詳細
PCT(プレッシャクッカー試験)
英語表記:Pressure Cooker Test
詳細
PDC
英語表記:PersonalDigitalCellulartelecommunicationsystem
詳細
PFCガス
英語表記:PFC (perfluoro-compound)gas
詳細
PGA
英語表記:Pin Grid Array
詳細
PGA(Pin Grid Array)の組立プロセス
英語表記:assembly process for PGA
詳細
PID温度制御
英語表記:PID temperature control
詳細
PIII
英語表記:Plasma Imersion Ion plantation
詳細
PLCC
英語表記:Plastic Lead Chip Carrier
詳細
PLL
英語表記:Phase Locked Loop
詳細
PLLの仕様
英語表記:PLL specifications
詳細
PPMU
英語表記:per pin
measurement unit
詳細
PPS
英語表記:per pin source
詳細
PRAM
英語表記:Phase Change RAM
詳細
PRTR 環境汚染物質排出移動登録
英語表記:pollutant release
and transfer
register
詳細
PSA
英語表記:pressure swing
adsorption
詳細
PSG
英語表記:Phosphorous Silicate Glass
詳細
PSL粒子 標準粒子
英語表記:polystylene latex particlestandard particle
詳細
PUA
英語表記:percent usable area
詳細
PVAスポンジ
英語表記:poly vinyl alcohol sponge
詳細
PZT系強誘電体
英語表記:PZT family ferroelectric
詳細
Porous Law-k膜
英語表記:Porous Law-k film
詳細
P偏光 ブルースター角入射測定方 PPB
英語表記:infrared absorption spectroscopy with P-polarized radiation at Brewster angle
詳細
QFP
英語表記:Quad Flat Package
詳細
QFP
英語表記:quad flat package
詳細
QMS (四重極質量分析)
英語表記:Q u a d r Ⅱ 0 0 2 e M a s s Spectrometer
詳細
QMS (四重極質量分析)
英語表記:Q u a d r Ⅱ 0 0 1 e M a s s Spectrometer
詳細
QMS (四重極質量分析)
英語表記:Q u a d r Ⅱ 0 0 4 e M a s s Spectrometer
詳細
QMS (四重極質量分析)
英語表記:Q u a d r Ⅱ 0 0 3 e M a s s Spectrometer
詳細
R-SF
英語表記:Ring Stacking Fault
詳細
RAM
英語表記:reliability availability
maintainability
詳細
RCA洗浄
英語表記:RCA Cleaning
詳細
RCA洗浄
英語表記:RCA Cleaning
詳細
RC線路
英語表記:RC transmission line
詳細
RC遅延
英語表記:RC delay time
詳細
RF型加速器 RFQ型加速器
英語表記:radio frequency linac(linear accelerator)radio frequency quadrapole accelerator
詳細
RIBE
英語表記:Reactive Ion Beam Etching
詳細
RIE
英語表記:Reactive Ion Etching
詳細
RIE(反応性イオンエッチング)
英語表記:Reactive Ion Etching
詳細
RIEダメージ
英語表記:Reactive Ion Etching damage
詳細
ROMテストデータメモリ データメモリ
英語表記:ROM test data
memory
data memory
詳細
RPT
英語表記:Raw Process Time
詳細
RTA(高温短時間アニール)
英語表記:Rapid Thermal Anneal
詳細
RTL
英語表記:Resister Transfer Level
詳細
RTP
英語表記:rapid thermal process
詳細
RTP
英語表記:Rapid Thermal Process
詳細
RTP酸化
英語表記:oxidation by Rapid Thermal Processmg
詳細
RTP酸化
英語表記:oxidation by Rapid Thermal Processmg
詳細
ReRAM
英語表記:Resistive Random Access Memory
詳細
Ru、Ru0 2
英語表記:ruthenium, ruthenium dioxide
詳細
SACエッチング
英語表記:self-aligned contact etching
詳細
SBT系強誘電体
英語表記:SBT family ferroelectric
詳細
SC1
英語表記:Standard Clean 1
詳細
SC2
英語表記:Standard Clean 2
詳細
SCALPEL
英語表記:Scattering with Angular Limitation in Projection Electron-beam Lithography
詳細
SCM(サプライチェーン管理)
英語表記:Supply Chain Management
詳細
SDI FI
英語表記:silt density index
fouling index
詳細
SEAJ
英語表記:Semiconductor Equipment Association of Japan
詳細
SECS
英語表記:semiconductor
equipment
community
standard
詳細
SECS
英語表記:SEMI Equipment Communications Standard
詳細
SEMI
英語表記:Semiconductor Equipment and Materials Institute
詳細
SEMIスタンダード
英語表記:semi standards
詳細
SEM法
英語表記:SEM : Scanning Electron Microscopy
詳細
SIMOX
英語表記:separartion by implanted oxygen
詳細
SIMOX
英語表記:separartion by implanted oxygen
詳細
SIMOX SOIウェーハ
英語表記:separation by implantaion of oxygen SOI wafer
詳細
SMD(表面欠陥)
英語表記:Surface Micro Defect
詳細
SMIF
英語表記:standard mechanical
interface
詳細
SOG
英語表記:spin-on-glass
詳細
SOI
英語表記:Silicon on Insulator
詳細
SOI
英語表記:silicon on insulator
詳細
SOI技術
英語表記:Silicon On Insulator Technology
詳細
SOI結晶
英語表記:Silicon on Insulator
詳細
SOP/SOJ
英語表記:Small Outline Package/ Small Outline J-leaded package
詳細
SOS
英語表記:Silicon On Sapphire
詳細
SPM洗浄
英語表記:sulfuric acid-hydrogen peroxide mixture cleaning
詳細
SRAM
英語表記:Static Random Access Memory
詳細
SR露光装置 SOR露光装置
英語表記:synchtionrotron radiation
詳細
SSIS
英語表記:Society of Semiconductor Industry Specialists
詳細
STA
英語表記:Static Timing Analysis
詳細
STARC
英語表記:Semiconductor Technology Academic Research Center
詳細
STCセル構造
英語表記:STC cell structure
詳細
STI
英語表記:Shallow Trench Isolation
詳細
STIR
英語表記:site total indication reading
詳細
STIエッチング
英語表記:STI etching
詳細
Schmid因子
英語表記:Schmid factor
詳細
Seccoエッチング
英語表記:Secco etching
詳細
Si/Al界面
英語表記:Si/Al interface
詳細
Sirtl エッチング
英語表記:Sirtle etching
詳細
Siアイランド
英語表記:Si island
詳細
Siノジュール
英語表記:Si nodule
詳細
Si異方性エッチング
英語表記:Si anisotropic etching
詳細
Si高抵抗層析出
英語表記:Si precipitates with high resistance
詳細
Si酸化
英語表記:Silicon Oxidation
詳細
Si酸窒化
英語表記:nitridation of Silicon oxide
詳細
Si窒化
英語表記:Silicon nitridation
詳細
Sパラメータ
英語表記:S parameter
詳細
Sボンド S字ボンド
英語表記:S shape bond
詳細
T/F(トリムアンドフォーム)
英語表記:trim and form
詳細
T/F金型
英語表記:Trimming and Forming die
詳細
T/F装置
英語表記:Trimming and Forming equipment
詳細
TABテープ
英語表記:Tape Automated Bonding tape
詳細
TAT
英語表記:turn-around-time
詳細
TCP
英語表記:Tape Carrier Package
詳細
TCP/IP
英語表記:Transmission Control Protocol/Internet Protocol
詳細
TCT(温度サイクル試験)
英語表記:Temperature Cycling Test
詳細
TDDB
英語表記:Time Dependent Dielectric Breakdown
詳細
TDDB試験 酸化膜経時破壊試験
英語表記:time dependent dielectric breakdown
詳細
TDR キャリブレーション
英語表記:time domain refractometry calibration
詳細
TDS 全蒸発残留物
英語表記: total dissolved solid
詳細
TED BED
英語表記:transient enhanced
diffusion
boron enhanced
diffusion
詳細
TEG
英語表記:test elementary group
詳細
TEG
英語表記:Test Element Group: TEG
詳細
TEGを用いた信頼性試験
英語表記:reliability test using TEG (Test Element Group)
詳細
TEM法
英語表記:TEM: Transmission Electron Microscopy
詳細
TEOS
英語表記:tetra ethoxy silane
詳細
TEOS -03 CVD
英語表記:TEOSー03 CVD
詳細
TEOS-O₃ CVD
英語表記:TEOS-O3 atmospheric pressure CVD
詳細
THB(高温高湿バイアス試験)
英語表記:Temperature Humidity Bias test
詳細
TIR
英語表記:Total Indicator Reading
詳細
TIR GFLR GF3R
英語表記:total indicator reading gloval front least-squares range gloval front three points range
詳細
TMAH
英語表記:tetramethyl ammonium hydroxide
詳細
TMP
英語表記:tester management
processor
詳細
TOC 全有機炭素
英語表記:total organic carbon
詳細
TOC計 全有機炭素計
英語表記:total organic carbon analyzer
詳細
TOX 全有機ハロゲン化合物
英語表記:total organic
halogen
詳細
TTLアライメント
英語表記:through-the-lens alignment
詳細
TTLオートフォーカス
英語表記:through-the-lens auto focusing
詳細
TTRアライメント
英語表記:through-the-reticle alignment
詳細
TTV
英語表記:total thickness variation
詳細
Ti制御 Tiモニタ ジャンクション温度制御
英語表記:Ti controlTi monitorjunction temperature controll
詳細
ULPAフィルタ
英語表記:ultra low penetration air filterULPA filter
詳細
UPH
英語表記:unit per hour
詳細
UVドライ酸化
英語表記:UV dry oxidation
詳細
UV照射装置
英語表記:UV irradiatiion equipment
詳細
UV洗浄
英語表記:ultra-violet light cleaning
詳細
V/Iソース
英語表記:V/I source
詳細
VSWR測定
英語表記:VSWR measurement
詳細
Voronkov
英語表記:Voronkov
詳細
Vth
英語表記:threshold voltage
詳細
Vt差リファレンス
英語表記:reference voltage source based on Vt difference
詳細
Vシリーズモデル
英語表記:Vseries MODEM
詳細
WAN
英語表記:wide area network
詳細
WIP
英語表記:work in process
詳細
WIPトラッキング
英語表記:WIP tracking
詳細
WSA
英語表記:wafer surface analysis
詳細
Wrightエッチング
英語表記:Wright etching
詳細
X-Yステージ X-Yテーブル
英語表記:X-Y stage X-Y table
詳細
X-Y軸位置決め精度
英語表記:X-Y axis positioning accuracy
詳細
XYコーディネータ
英語表記:XY coordinator
詳細
X線トポグラフ法 XRT
英語表記:X-ray topography
詳細
X線リソグラフィ
英語表記:X-ray lithography
詳細
X線リソグラフィ
英語表記:X-ray lithography
詳細
X線回析法
英語表記:X-ray diffractometry
詳細
X線吸収端微細構造(XANES)
英語表記:X-ray Absorption Near-Edge Structure (XANES)
詳細
X線吸収微細構造(XAFS)
英語表記:X-ray absorption fine structure (XAFS)
詳細
X線検査装置
英語表記:X-ray inspection equipment
詳細
X線光電子分光法
英語表記:XPS: X-ray Photoelectron Spectroscopy
詳細
X線光電子分光法 XPS
英語表記:X-ray photoelectron spectroscopy
詳細
X線照射ダメージ
英語表記:X-ray irradiation damage
詳細
X線透視法
英語表記:radiographic technique
詳細
X線露光装置
英語表記:X-ray aligner
詳細
Z軸コントロール精度
英語表記:Z axis positioning
accuracy
詳細
n型半導体
英語表記:n-type semiconductor
詳細
pH測定
英語表記:pH measurement
詳細
pH調整剤注入装置
英語表記:pH adjustment equipment
詳細
pH調整装置
英語表記:pH control equipment
詳細
p型半導体
英語表記:p-type semiconductor
詳細
swp (表面波プラズマ)
英語表記:Surface Wave Plasma
詳細
tr ts
英語表記:rise time
fall time
詳細
van der Pauw法
英語表記:van der Pauw method
詳細
i型半導体 真性半導体
英語表記:i-type semiconductor
詳細
じょ限量
英語表記:allowable
concentration
詳細
すべり系、すべり面
英語表記:slip system、slip
planc
詳細
そり
英語表記:sori, bow, warp
詳細
そり制御装置
英語表記:sori control system
詳細
といし軸
英語表記:wheel spindle
詳細
と粒
英語表記:abrasive grains
詳細
と粒率
英語表記:grain volume percentage
詳細
ならし成形
英語表記:mold conditioning process
詳細
はがれ 圧着はがれ
英語表記:peel off
bond lift off
non stick
詳細
はんだめっき
英語表記:solder plating
詳細
はんだディップ
英語表記:solder dipping
詳細
はんだディップ装置
英語表記:solder dipping equipment
詳細
はんだボール
英語表記:solder ball
詳細
はんだボール搭載装置
英語表記:solder ball mounter
詳細
はんだメッキ装置
英語表記:solder plating
詳細
はんだ材料
英語表記:Solder Material
詳細
はんだ浸し試験装置
英語表記:solder dip test
system
詳細
はんだ接合部疲労解析
英語表記:fatigue simulation of solder joints
詳細
ふっ酸モニタ
英語表記:hydrogen fluoride
monitor
詳細
ふっ酸過酸化水素洗浄液
英語表記:hydrofluoric acid hydrogen peroxide mixture cleaning solution
詳細
ふっ酸回収装置
英語表記:hydrofluoric acid
regenerator
詳細
ふっ酸添加純水
英語表記:HF added pure water
詳細
ふっ素イオン計
英語表記:fluoride ion monitor
詳細
ふっ素回収装置
英語表記:fluoride reclamation
equipment
詳細
ふっ素廃水処理装置
英語表記:fluorine waste water
treatment
equipment
詳細
へキサメチルジシラザン
英語表記:Hexamethyldisilazane :HMDS
詳細
へテロダイン検出
英語表記:heterodyne alignment
詳細
べクタ走査
英語表記:vector scan
詳細
るつぼ移動ストローク
英語表記:crucible lift travel
詳細
るつぼ移動速度
英語表記:crucible lift rate
詳細
るつぼ回転速度
英語表記:crucible rotation rate
詳細
るつぼ駆動機構
英語表記:crucible lift mechanism
詳細
るつぼ軸
英語表記:crucible shaft
詳細
ろ材誘電型エアフィルタ
英語表記:charged-media
electric air filter
詳細
アークチャンバ
英語表記:arc chamber
詳細
アークランプ アニール装置
英語表記:arc lamp annealer
詳細
アース棒
英語表記:earth bar grounding bar
詳細
アイススクラブ洗浄
英語表記:ice scrubber cleaning
詳細
アイダイアグラムマスクテスト
英語表記:eye diagram mask test
詳細
アイランド ダイバッド
英語表記:die pad
詳細
アイランドダウン ディプレス
英語表記:depressed die pad
詳細
アインシュタインの関係式
英語表記:Einstein's equation
詳細
アウタリード
英語表記:outer lead
詳細
アウタリードボンダ
英語表記:Outer Lead bonder
詳細
アウタリードボンディング
英語表記:outer lead bonding
詳細
アウタリードボンディング アウタリードボンダ
英語表記:outer lead bonding
outer lead bonder
詳細
アクセプタ準位
英語表記:acceptor level
詳細
アクティブダンパ
英語表記:active amper
詳細
アスペクト比
英語表記:aspect ratio
詳細
アスペクト比
英語表記:Aspect Ratio
詳細
アスペクト比
英語表記:aspect ratio
詳細
アズカットウェーハスライドウェーハ
英語表記:as-cut wafer sliced wafer
詳細
アッシング機構
英語表記:ashing mechanism
詳細
アッシング装置
英語表記:ashing system
詳細
アトミック・レイヤー・エッチング
英語表記:Atomic Layer Etching
詳細
アトムプローブ電界イオン顕微鏡
英語表記:Atom Probe Field Ion Microscope: APFIM
詳細
アドヒージョンユニット
英語表記:adhesion unit
詳細
アドレスサイズ
英語表記:address unit
詳細
アドレススクランブル機能
英語表記:address scramble function
詳細
アドレスマルチ機能
英語表記:address multiplex function
詳細
アナログ・テジタル混載
英語表記:LSI(analog・digital mixed LSI)
詳細
アニーリング(熱処理)
英語表記:annealing
詳細
アニール(熱処理)
英語表記:Annealing
詳細
アニール・拡散
英語表記:annealing and diffusion
詳細
アニール温度
英語表記:annealing temperature
詳細
アニール均一性
英語表記:annealing uniformity
詳細
アニール室
英語表記:annealing chamber
詳細
アニオン交換樹脂
英語表記:anion exchange
resin
詳細
アニオン交換樹脂塔
英語表記:anion exchanger
詳細
アハラノフ・ボーム(AB)効果
英語表記:Aharonov-Bohm effect
詳細
アフターコロージョン
英語表記:アフターコロージョン
詳細
アフタキュア ポストキュア
英語表記:after cure
post cure
詳細
アフタコロージョン
英語表記:after-corrosion
詳細
アモルファスフロロカーボン
英語表記:a-C:F:amorphous fluorocarbon
詳細
アライメントオフセット
英語表記:alignment offset
詳細
アライメントスコープ
英語表記:alignment scope
詳細
アライメントステージ
英語表記:alignment stage
詳細
アライメントマーク 合せマーク
英語表記:alignment mark
詳細
アライメント精度
英語表記:alignment accuracy
詳細
アライメント精度
英語表記:alignment accuracy
詳細
アライメント精度 位置合せ精度
英語表記:alignment accuracy
詳細
アルゴリズミック パターン発生器 ALPG
英語表記:algorithmic pattern
genetator
詳細
アルミナ基板
英語表記:alumina substrate
詳細
アルミナ砥粒
英語表記:alumina abrasive
詳細
アルミニウムワイヤ
英語表記:aluminum wire
詳細
アルミニウム配線
英語表記:aluminum conductor
詳細
アルミ腐食
英語表記:aluminum corrosion
詳細
アレニウスグラフ アレニウスプロット
英語表記:Arrhenius graph
Arrhenius plot
dependence of life
time on
temperature plot
詳細
アレニウスプロット
英語表記:Arrhenius plot
詳細
アレニウス則
英語表記:Arrhenius model
詳細
アロイスパイク
英語表記:alloy spike
詳細
アンダーソン局在
英語表記:Anderson localization
詳細
アンダーフィル
英語表記:underfill
詳細
アンダエッチング
英語表記:under-etching
詳細
アンダエッチング
英語表記:under etching
詳細
アンダカット サイドエッチング
英語表記:undercut
side etching
詳細
アンチモン化ガリウム
英語表記:Gallium antimonide
詳細
アンテナ比
英語表記:antenna retio
詳細
イオンアシスト反応
英語表記:lon¯assisted reactions
詳細
イオンインプランテーション
英語表記:ion implantation
詳細
イオンエネルギー分析
英語表記:ion energy analysis
詳細
イオンクロマトグラフ
英語表記:ion chromato graph
詳細
イオンビーム スパッタリング装置
英語表記:ion beam sputtering system
詳細
イオンビームエッチング装置
英語表記:ion beam etching equipment
詳細
イオンビームエッチング装置 イオンミリング装置
英語表記:ion beam etching
system
ion milling system
詳細
イオンビームプロセス
英語表記:ion beam process
詳細
イオンビームリソグラフィ
英語表記:ion beam lithography
詳細
イオンプレーティング装置
英語表記:ion plating system
詳細
イオンマイクロプローブ分析法 IMMA
英語表記:ion mocro probe mass analysis method
詳細
イオンミリング
英語表記:ion milling
詳細
イオン温度
英語表記:Ionic temperature
詳細
イオン化断面積
英語表記:Ionization cross section
詳細
イオン化不純物散乱
英語表記:ionized impurity scattering
詳細
イオン交換樹脂
英語表記:ion exchange resin
詳細
イオン交換樹脂交換容量 イオン交換容量
英語表記:ion exchange
capacity
詳細
イオン交換装置
英語表記:ion exchange
equipment
詳細
イオン交換膜
英語表記:ion exchange
membrance
詳細
イオン散乱過程
英語表記:ion scattering
詳細
イオン照射
英語表記:ionic bombardment
詳細
イオン注入
英語表記:ion implantation
詳細
イオン注入
英語表記:Ion Implantation
詳細
イオン注入 イオン打込み
英語表記:ion implantation
詳細
イオン注入ダメージ
英語表記:Implant Damage
詳細
イオン注入プロセス
英語表記:ion implantation process
詳細
イオン注入応用技術
英語表記:ion beam application
詳細
イオン注入過程
英語表記:ion implantation process
詳細
イオン注入装置
英語表記:Ion Implantation System
詳細
イオン注入装置
英語表記:ion implanter
詳細
イオン注入誘起欠陥
英語表記:ion-induced lattice
defect
詳細
イオン電流密度
英語表記:ion current density
詳細
イオン分解能
英語表記:mass resolution
詳細
イナージェンガス消火設備
英語表記:inergen gas
extinguishing
system
詳細
イマージョンレンズ
英語表記:Immersion lens
詳細
イメージセンサテストシステム
英語表記:image sensor test
system
詳細
イメージプロセッサユニット IPU
英語表記:image processor
unit
詳細
イメージリバーサルプロセス
英語表記:Image reversal process
詳細
イルミネータ
英語表記:illuminator
詳細
インカ マーカ
英語表記:inker
marker
詳細
インカ(マーカ)
英語表記:inker (marker)
詳細
インクジェット式マーキング装置
英語表記:inkjet printer
詳細
インクマーク
英語表記:ink marking
詳細
インクマーク装置
英語表記:ink marker
詳細
インゴット回転式切断機
英語表記:rotating ingot slicing machine
詳細
インゴット端面形状測定装置
英語表記:ingot end face bow measuring system
詳細
インサートリング フロッグリング インターフェースリング ハウジング ポゴタワー
英語表記:insert ring
frog ring
interface ring
housing
pogo tower
詳細
インジェクタノズル
英語表記:injector nozzle
詳細
インスペクション
英語表記:inspection
詳細
インターナルギア
内歯歯車
英語表記:internal gear
詳細
インタフェースタイミング
英語表記:interface timing
詳細
インタフェースユニット
英語表記:interface unit
詳細
インデクサ フィーダ
英語表記:indexer
詳細
インデックスタイム
英語表記:index time
詳細
インデックス送り
英語表記:indexing
詳細
インデックス量
英語表記:index amount
詳細
イントリンシック
英語表記:intrinsic gettering
詳細
イントリンシックゲッタリング
英語表記:Intrinsic Gettering, Internal Gettering:
IG
詳細
インナリード
英語表記:inner lead
詳細
インナリード/アウタリード
英語表記:inner-lead/outer-lead
詳細
インナリードボンダ
英語表記:Inner Lead bonder
詳細
インナリードボンディング
英語表記:inner lead bonding
詳細
インナリードボンディング インナリードボンダ
英語表記:inner lead bonding
inner lead bonder
詳細
インバータ(Inverter)回路
英語表記:
詳細
インフィード研削
英語表記:infeed grinding
詳細
インプリント特性
英語表記:imprint characteristics
詳細
インプロセスゲージ IPG
英語表記:in-process gauge
詳細
インラインガスフィルタ
英語表記:in-line gas filter
詳細
インラインシステム
英語表記:inline system
詳細
インラインパーティクノレモニタ
英語表記:inline depth detecting monitor
詳細
インラインヒータ
英語表記:inline heater
詳細
インライン深さ検出モニタ
英語表記:inline depth detecting monitor
詳細
ウインドウコンパレータ
英語表記:window comparator
詳細
ウェーハ シッピングボックス(出荷容器)
英語表記:wafer shipping box
詳細
ウェーハ 自動移動装置
英語表記:wafer automatic transfer system
詳細
ウェーハ・ローディング・アンローディング
英語表記:wafer loading/ unloading
詳細
ウェーハアライメント
英語表記:wafer alignment
詳細
ウェーハエンド
英語表記:wafer end
詳細
ウェーハカセット
英語表記:wafer cassette
詳細
ウェーハクーリング ステージ
英語表記:wafer cooling stage
詳細
ウェーハスケーリング
英語表記:wafer scaling
詳細
ウェーハステージ
英語表記:wafer stage
詳細
ウェーハチェンジャ
英語表記:wafer changer
詳細
ウェーハチャック
英語表記:wafer chuck
詳細
ウェーハツイスト
英語表記:wafer twist
詳細
ウェーハテーブル 吸着ステージチャック
英語表記:wafer table
chuck
詳細
ウェーハテープ
英語表記:wafer tape
詳細
ウェーハテープホットブロー
英語表記:wafer tape hot blow
詳細
ウェーハティルト
英語表記:wafer tilt
詳細
ウェーハティルト ウェーハレベリング
英語表記:wafer tilting
wafer leveling
詳細
ウェーハテスト ウェーハソート プローブテスト EDSテスト
英語表記:wafer test
wafer sort
probe test
electrical die sot
test
詳細
ウェーハディスク
英語表記:wafer disk
詳細
ウェーハディストーション
英語表記:wafer distortion
詳細
ウェーハフレーム ウェーハリング
英語表記:wafer frame
詳細
ウェーハフレームカセット
英語表記:wafer frame cassette
詳細
ウェーハプローバ プローバ
英語表記:wafer prober
詳細
ウェーハホイスト
英語表記:wafer hoist
詳細
ウェーハマウンタ
英語表記:wafer mounter
詳細
ウェーハマップ
英語表記:wafer map
詳細
ウェーハレベルCSP
英語表記:wafer level CSP
詳細
ウェーハレベルバーンイン装置 WLBI装置 ウェーハバーンイン装置
英語表記:wafer level burn-in
system
wafer burn-in
system
詳細
ウェーハレベルパッケージング
英語表記:wafer level packaging
詳細
ウェーハローテーション
英語表記:wafer rotation error
詳細
ウェーハ異物検査装置
英語表記:wafer inspection equipment
詳細
ウェーハ間均一性
英語表記:wafer to wafer uniformity
詳細
ウェーハ間注入均一性
英語表記:wafer-to-wafer uniformity
詳細
ウェーハ形状認識
英語表記:wafer profile recognition
詳細
ウェーハ内注入均一性
英語表記:dose uniformity
詳細
ウェーハ表面検査
英語表記:wafer surface inspection
詳細
ウェーブガイド 分析官
英語表記:wave guide
analyzing chamber
詳細
ウェーブフォームアナライザ WFA ウェーブトレーサ
英語表記:wave form analyzer
wave tracer
詳細
ウェッジボンディング ウェッジボンダ
英語表記:wedge bonding
wedge bonder
詳細
ウェットエッチング
英語表記:wetetching
詳細
ウェットエッチング機構
英語表記:wet etching mechanism
詳細
ウェットエッチング装置
英語表記:wet etching equipment
詳細
ウェットエッチング装置
英語表記:wet etching system
詳細
ウェット式レジスト剥離装置
英語表記:wet type resist stripping system
詳細
ウェハプローバ
英語表記:wafer prober
詳細
ウェハ加工
英語表記:wafer marking
詳細
ウェハ固定
英語表記:wafer chucking
詳細
ウェハ冷却機構
英語表記:wafer cooling structure
詳細
ウェル形成
英語表記:well fabrication
詳細
ウエートアジャスタ
英語表記:wait adjuster
詳細
ウエーハピッチ
英語表記:wafer pitch
詳細
ウエーハホルダ
英語表記:wafer holder
詳細
ウエーハ加熱機構
英語表記:wafer heating mechanisum
詳細
ウエーブスキャン
英語表記:wave scan
詳細
ウエッジツール
英語表記:wedged tool
詳細
ウエットエッチング
英語表記:Wet Etching
詳細
ウエハプロセス総論
英語表記:wafer process overview
詳細
ウォーターバック方式
英語表記:water-back system
詳細
ウォーターマーク
英語表記:water mark
詳細
ウォーターマーク
英語表記:water mark
詳細
ウォータポリシング 水研磨
英語表記:water polishing
詳細
ウォールアングル ショルダアングル テーパ角
英語表記:wall angle
shoulder angle
taper angle
詳細
エアーソルダ
英語表記:air soldering
詳細
エアーバック方式
英語表記:air-back system
詳細
エアアイソレーション
英語表記:air isolation
詳細
エアカーテン
英語表記:air curtain
詳細
エアテンション
英語表記:air tension
詳細
エアワッシャ
英語表記:air washer
詳細
エア加圧方式
英語表記:air pressure type
詳細
エキシマレーザ
英語表記:excrmer laser
詳細
エキシマレーザ露光
英語表記:excimer laser exposure
詳細
エキストリンシックゲッタリング
英語表記:Extrinsic Gettering
: IG
詳細
エキスバンドステージ
英語表記:expand stage
詳細
エキスバンド装置 エキスパンド率
英語表記:expansion ratio
詳細
エクステンション
英語表記:Extension
詳細
エクストリンシックゲッタリング
英語表記:extrinsic gettering
詳細
エクストリンシックゲッタリング
英語表記:Extrinsic Gettering, External Gettering: IG
詳細
エサキ効果
英語表記:Esaki effect
詳細
エックス線光電子分光法
英語表記:X-ray Photoelectron Spectroscopy
詳細
エッジ エクスクルージョン
英語表記:edge exclusion
詳細
エッジイクスクルージョン
英語表記:edge exclusion
詳細
エッジコレクション
英語表記:edge correction
詳細
エッジセンサ
英語表記:edge sensor
詳細
エッジセンサ
英語表記:edge sensor
詳細
エッジリンス エッジクリーン
英語表記:
edge bead remover
E.B.R.
詳細
エッチストップ
英語表記:etch stop
詳細
エッチストップ
英語表記:ecth stop
詳細
エッチストップ
英語表記:etch stop
詳細
エッチドウェーハ エッチングウェーハ
英語表記:etched wafer etching wafer
詳細
エッチバック
英語表記:etchi bakku
詳細
エッチファクタ
英語表記:etch factor
詳細
エッチングダメージ
英語表記:etching damage
詳細
エッチングプロセス
英語表記:etching process
詳細
エッチングリードフレーム
英語表記:etching lead-frame
詳細
エッチング開口率
英語表記:exposed area ratio
詳細
エッチング均一性
英語表記:etch uniformity
詳細
エッチング均一性
英語表記:etching uniformity
詳細
エッチング残渣
英語表記:etch residue
詳細
エッチング室
英語表記:etching chamber
詳細
エッチング終点検出
英語表記:etching end point detection
詳細
エッチング終点検出機構
英語表記:etching end-point detection
詳細
エッチング選択比 エッチング選択制
英語表記:etch selectivity
詳細
エッチング装置
英語表記:etching system
詳細
エッチング装置
英語表記:etching equipment
詳細
エッチング速度
英語表記:etch rate
詳細
エッチング特性
英語表記:etching characteristics
詳細
エッチング反応生成物
英語表記:creative product by etching reaction
詳細
エネルギー コンタミネーション
英語表記:energy
contamination
詳細
エネルギー分散X線分光法
英語表記:energy dispersive X-ray spectroscopy
詳細
エピタキシャルウエーハ
英語表記:epitaxial wafer
詳細
エピタキシャル成長
英語表記:epitaxial growth
詳細
エピタキシャル成長機構
英語表記:epitaxial growth mechanism
詳細
エピタキシャル成長装置
英語表記:epitaxial growth systems
詳細
エピタキシャル成長装置
英語表記:epitaxial growth system
詳細
エピタキシャル層欠陥
英語表記:epitaxial defect
詳細
エピタキシャル膜厚測定方法
英語表記:measurement method of epitaxial layer thickness
詳細
エミッション顕微鏡法
英語表記:Emission microscopy
詳細
エリプソメトリ膜厚測定
英語表記:elipsometry thickness measurement
詳細
エレクトレットエアフィルタ
英語表記: electric air filter
詳細
エレクトロマイグレーション
英語表記:electromigration
詳細
エレクトロマイグレーション
英語表記:electromigration
詳細
エレクトロマイグレーション(EM)
英語表記:Electro-Migration
詳細
エレクトロンサプレッサ バイアス
英語表記:electron suppressor
bias
詳細
エレクトロンフラッドガン
英語表記:electron flood gun
詳細
エレベーテッド・ソース・ドレイン
英語表記:Elevated Souece Drain
詳細
エンドステーション
英語表記:end-station
詳細
エンドレス バンドソー
英語表記:endless band saw
詳細
オージェ電子出現電位分光法
英語表記:auger electron appearance spectroscopy
詳細
オージェ電子分光(AES)
英語表記:Auger Electron Spectroscopy
詳細
オージェ電子分光法
英語表記:Auger Electron Spectroscopy
詳細
オージェ電子分光法 AES
英語表記:auger electron spectroscopy
詳細
オーディオ信号測定機能
英語表記:audio signal
measurement
詳細
オートカッタセット オートセットアップ
英語表記:automatically cutter
set
automatically set-up
詳細
オートキャリブレーション機能
英語表記:automatic calibration function
詳細
オートセットアップ
英語表記:auto set up
詳細
オートダンパ カップ内排気
英語表記:automatic damper
sequential damper
exhaust for coater
exhaust for
developer
詳細
オートドーピング
英語表記:autodoping
詳細
オートドーピング
英語表記:autodoping
詳細
オーバ ポリッシュ
英語表記:over polish
詳細
オーバーサンプル型D/A変換器
英語表記:over-sampled D/A converter
詳細
オーバーポリッシング
英語表記:over polishing
詳細
オーバエッチング
英語表記:over-etching
詳細
オーバサンプルA/D変換器
英語表記:over sample A/D converter
詳細
オーバサンプル型D/A変換器
英語表記:Oversampled D/A Converter
詳細
オーバトラベル オーバドライブ くい込み量
英語表記:over travel
over drive
詳細
オーバドライブ
英語表記:over drive
詳細
オーバフローリンス
英語表記:over flow rinse
詳細
オーバヘッド時間
英語表記:overhead time
詳細
オーブン温度分布 オーブン温度均一性
英語表記:baking temperature
uniformity
詳細
オープンカセット O.C.
英語表記:open cassette
詳細
オープンショートチェッカ
英語表記:open short checker
詳細
オープンマニュファクチャリング
英語表記:open manufacturing
詳細
オーミックコンタクト
英語表記:Ohmic contact
詳細
オールメタル製ガス供給システム
英語表記:all metal gas
supplying system
詳細
オゾンアッシング装置
英語表記:ozone asher
詳細
オゾンモニタ
英語表記:ozone monitor
詳細
オゾン酸化
英語表記:ozone oxidation
詳細
オゾン水
英語表記:ozonized water
詳細
オゾン層破壊
英語表記:ozone depletion
詳細
オゾン添加超純水
英語表記:ozonized ultrapure water
詳細
オファクシスアライメント
英語表記:off axis alignment
詳細
オフアクシスアライメント
英語表記:off-axis alignment
詳細
オフセットコントロール
英語表記:offset control
詳細
オフセット印刷機
英語表記:offset printing press
詳細
オフライン処理
英語表記:off line process
詳細
オペレーションボックス
英語表記:operation box
詳細
オリエンテーションフラット オリフラ
英語表記:orientation flat
詳細
オリフラ合わせ機構
英語表記:orientation flat aligner
詳細
オリフラ整合装置
英語表記:orientation flat arrange equipment
詳細
オンアクシスアライメント
英語表記:on-axis alignment
詳細
オンザフライ リンクブロー
英語表記:on-the-fly
link-blow
詳細
オンザフライスプリット RTTC RTWC
英語表記:on-the-fly
split
real time timing
control
real time wave
control
詳細
オンライン処理
英語表記:on line process
詳細
カーケンドールボイド
英語表記:Kirkendall void
詳細
カスケードドライバ
英語表記:cascaded driver
詳細
カスコード型差動増幅器
英語表記:Cascoded differential amplifier
詳細
カセットID
英語表記:cassette ID
詳細
カセット ツー カセット
英語表記:cassette-to-cassette handling
詳細
カセット マガジン
英語表記:cassette magazine
詳細
カセットトランスファ
英語表記:cassette transfer
詳細
カセットレス洗浄装置
英語表記:cassetteless wet cleaning equipment
詳細
カセット洗浄装置
英語表記:cassette cleaning equipment
詳細
カソード ターゲット電極
英語表記:cathode target electrode
詳細
カソードルミネッセンス法
英語表記:CL: Cathodoluminescence
詳細
カチオン交換樹脂
英語表記:cation exchange
resin
詳細
カチオン交換樹脂塔
英語表記:cation exchanger
詳細
カットラインチェック カーフチェック
英語表記:kerf inspection
詳細
カップリンス
英語表記:bowl rinse
詳細
カップ温湿度調整
英語表記:cup temperatureーhumidity controller
詳細
カップ温度湿度調整
英語表記:bowl temperature
and humidity control
詳細
カテゴリ ビン
英語表記:category
bin
詳細
カメラツールオフセット
英語表記:camera-tool offset
詳細
カラーフィルタ試験
英語表記:coler filter test
詳細
カラムアライメント
英語表記:column alignment
詳細
カラムコントロール
英語表記:column control
詳細
カルコパイライト型三元化合物半導体
英語表記:ternary chalcopyrite type compound semiconductors
詳細
カンチレバー
英語表記:cantilever
詳細
カンチレバープロービングカード
英語表記:cantilever probing card
詳細
ガイドローラ ガイドプーリー
英語表記:guide roller guide pulley
詳細
ガウシアンビーム
英語表記:Gaussian beam,叩ot beam
詳細
ガス・粒子変換
英語表記:gas to particle
conversion
詳細
ガスクロマトグラフ
英語表記:gas chromatograph
詳細
ガスクロマトグラフィ質量分析計 GCーMS
英語表記:gas chromatography
mass spectrometer
詳細
ガスクロマトグラフィ質量分析法
英語表記:GC-MS: Gas Chromatography Mass Spectrometry
詳細
ガスソースMBE
英語表記:gas source MBE
詳細
ガスソース分子線エピタキシャル成長装置 MOMBE装置 CBE装置
英語表記:gas source molecular beam epitaxial growth system metal organic molecular beam epitaxial growth system chemical beam epitaxial system
詳細
ガス希釈器
英語表記:gas dilution system
詳細
ガス供給センタ
英語表記:total gas supply
system
詳細
ガス精製装置
英語表記:gas purifier
詳細
ガス導入系
英語表記:gas feed system
詳細
ガス濃度検出器
英語表記:gas concentration
sensor
詳細
ガス配管接合技術
英語表記:gas pipeline
installation
technology
詳細
ガス溶存水洗浄
英語表記:gas disolved water cleaning
詳細
ガス漏れ警報器
英語表記:gas leakage detector
alarm
詳細
ガラスエポキシ樹脂基板
英語表記:glass epoxy resin board
詳細
ガラス基板
英語表記:glass substrate
詳細
ガラス転移点
英語表記:glass transition temperature
詳細
ガラス封止装置
英語表記:glass frit sealing
equipment
詳細
キナルジン酸
英語表記:quinaldic acid
詳細
キネマチックカップリング
英語表記:kinematic coupling
詳細
キャドナビゲーション
英語表記:CAD navigation
詳細
キャビテーション洗浄
英語表記:cavitation jet cleaning
詳細
キャリーオーバ
英語表記:carry over
詳細
キャリア
英語表記:wafer carrier
詳細
キャリアの凍結
英語表記:carrier freeze out
詳細
キャリアガス
英語表記:carner gas
詳細
キャリブレーションボード
英語表記:calibration board
詳細
キャリヤガス
英語表記:carrier gas
詳細
キャリヤガス
英語表記:carrier gas
詳細
キャリヤサイズ
英語表記:carrier size
詳細
キャリヤテープ ボート
英語表記:carrier tape
boat
詳細
キャリヤボックス
英語表記:carrier box
詳細
キャリヤラック
英語表記:carrier rack
詳細
キュアタイム
英語表記:curing time
詳細
キュア装置
英語表記:curing oven
詳細
キレート剤
英語表記:chelate agent
詳細
キレート剤添加洗浄薬品
英語表記:chelating agent added cleaning chemical
詳細
キレート樹脂吸着塔
英語表記:chelate resin column
詳細
クーリングステージ
英語表記:cooling stage
詳細
クーリングプレート
英語表記:chill plate
詳細
クーロンブロッケード
英語表記:Coulomb blockade
詳細
クーロン効果
英語表記:Coulomb interaction
詳細
クーロン散乱
英語表記:Coulomb scattering
詳細
クイックコネクタ
英語表記:quick connector
quick disconnecting
coupling
詳細
クイックダンプリンス
英語表記:quick dump rinse
詳細
クエン酸洗浄
英語表記:citric acid cleaning
詳細
クヌーセン セル
英語表記:Knudsen cell
詳細
クヌッセンセル
英語表記:knudsen cell
詳細
クラスタ・イオンビーム蒸着装置
英語表記:ionized cluster beam evaporation system
詳細
クラスタイオンビーム法
英語表記:cluster ion beam method
詳細
クラスタツール
英語表記:cluster tool
詳細
クラッシュフォーミング
英語表記:crash forming
詳細
クラマース・クローニッヒの関係式
英語表記:Kramers - Kronig relation
詳細
クリードラフトチャンバ
英語表記:clean draft chamber
詳細
クリープフィード研削
英語表記:creepfeed grinding
詳細
クリーンエレベータ
英語表記:clean elevator
詳細
クリーンチューブシステム CTS
英語表記:clean tube system
CTS
詳細
クリーントイレ
英語表記:clean toilet
詳細
クリーントンネル
英語表記:clean tunnel
詳細
クリーンドライエア
英語表記:clean dried air
詳細
クリーンブース
英語表記:clean booth
詳細
クリーンベンチ 清浄作業台
英語表記:clean bench
clean work station
詳細
クリーンペーパー
英語表記:clean paper
詳細
クリーンルーム
英語表記:clean room
詳細
クリーンロッカー
英語表記:clean locker
詳細
クリーンロボット
英語表記:clean robot
詳細
クリーン手洗器
英語表記:clean hand washer
詳細
クレータリング
英語表記:cratering
詳細
クローズド システム
英語表記:closed system
詳細
クローズド マニュファクチャリング
英語表記:closed
manufacturing
詳細
クロスオーバ
英語表記:cross over
詳細
クロスコンタミネーション
英語表記:cross contamination
詳細
クロスコンタミネーション
英語表記:cross contamination
詳細
クロマ信号測定機能
英語表記:chroma signal
measurement
詳細
グラインディングホイール
英語表記:grinding wheel
詳細
グラファイトヒータ アニール装置
英語表記:graphite heater annealer
詳細
グリッパフィーダ
英語表記:index gripper
詳細
グループローラ メインローラ 溝車
英語表記:grooved roller main roller
詳細
グレーティングパネル
英語表記:grated panel
詳細
グローバルアライメント
英語表記:global alignment
詳細
グローバルティルト グローバルレベリング
英語表記:global tilting
global leveling
詳細
グローバルプラナリゼーション
英語表記:global planarization
詳細
グローバル配線
英語表記:global wiring
詳細
グロスリークテスト
英語表記:gross leak testing
詳細
ケーキホッパ
英語表記:cake hopper
詳細
ケイ素(シリコン)
英語表記:silicon
詳細
ケミカルエアフィルタ ガス除去用エアフィルタ
英語表記:chemical air filter
詳細
ケミカルドライエッチング (CDE)装置
英語表記:Chemical Dry Etching equipment : CDE equipment
詳細
ケミカル汚染
英語表記:chemical
contamination
詳細
ケルビン接続
英語表記:kelvin contact
詳細
ゲート・スタック
英語表記:Gate Stack
詳細
ゲートインサートピース
英語表記:gate insert piece
詳細
ゲートカット
英語表記:gate cutting
詳細
ゲートバルブ
英語表記:gate valve
詳細
ゲートバルブ
英語表記:gate valve
詳細
ゲート残り
英語表記:remaining gate
詳細
ゲート接地増幅回路
英語表記:Grounded gateamp lifiercir
詳細
ゲート絶縁耐圧
英語表記:gate breakdown voltage
詳細
ゲート絶縁膜
英語表記:gate dielectrics
詳細
ゲル型イオン交換樹脂
英語表記:gel type ion exchange resin
詳細
コ・ポリマー
英語表記:co-polymer
詳細
コージェネレーション
英語表記:cogeneration
詳細
コールドウォール
英語表記:cold wall
詳細
コールドエバポレータ
英語表記:cold evaporator
詳細
コッククロフト
英語表記:cockcroft
詳細
コヒーレンス度
英語表記:coherence factor
詳細
コプラナリティ
英語表記:coplanarity
詳細
コリメート スパッタリング
英語表記:collimate sputtering
詳細
コリメートスパッタ
英語表記:collimated sputtering
詳細
コレットヒータ
英語表記:collet heater
詳細
コロイダルシリカ
英語表記:colloidal silica
詳細
コンスタントヒートツール
英語表記:constant
temperature
constant heat tool
詳細
コンタクト/ビア
英語表記:contact/via hole
詳細
コンタクト/ビア形成
英語表記:contact/via formation
詳細
コンタクトチェック
英語表記:contact check
詳細
コンタクトプロキシミティ露光装置
英語表記:contact proximity
詳細
コンタクトホール
英語表記:contact hole
詳細
コンタクトボード
英語表記:contact board
詳細
コンタクト高抵抗化要因
英語表記:high contact resistance Issues
詳細
コンタクト抵抗の低抵抗化
英語表記:reduction Of contact res1Stance
詳細
コンタミネーション
英語表記:contamination
詳細
コンタミ量
英語表記:contamination level
詳細
コンダクタンス法
英語表記:conductance method
詳細
コンディショニング ドレッシング
英語表記:conditioning
dressing
詳細
コンバージョンキット
英語表記:conversion kit
詳細
コンパレータ
英語表記:comparator
詳細
コンピュータ支援故障解析手法
英語表記:CAFA : Computer Aided Failure Analysis
詳細
ゴースト法
英語表記:GOHST method
詳細
サーチスピード
英語表記:search speed
詳細
サーチレベル
英語表記:search level
詳細
サービスエリア
英語表記:service area
詳細
サーマルチャンバ
英語表記:thermal chamber
詳細
サーマルビア
英語表記:thermal via
詳細
サイクルタイム
英語表記:cycle time
詳細
サイクル時間
英語表記:cycle time
詳細
サイクル処理時間
英語表記:cycle time
詳細
サイクロトロン共鳴
英語表記:cyclotron resonance
詳細
サイトFPD SBID SFLD SF3D SFQD
英語表記:site focal plane deviation site back ideal deviation site front least-squares global deviation site front three points deviation site front least-squares site deviation
詳細
サイトTIR SBIR SFLR SF3R SFQR
英語表記:site total indicator reading site back ideal range (local thickness variation) site front least-squares global range site front three points range site front least-squares range
詳細
サイトアレイ
英語表記:site array
詳細
サブシステム ポリッシングシステム
英語表記:subsystem
詳細
サブストレート
英語表記:substrate
詳細
サマリデータ
英語表記:summary data
詳細
サリサイド
英語表記:salicide : self-aligned silicide
詳細
サンプリング デジタイザ
英語表記:sampling digitizer
詳細
サンプルアンドディファレンス
英語表記:sample and
difference
詳細
シーケンシャルパターン発生器 SQPG
英語表記:sequential pattern
generator
詳細
シート抵抗測定
英語表記:sheet resistance measurement
詳細
シードチャック
英語表記:seed chuck
詳細
シード移動ストローク
英語表記:seed lift travel
詳細
シード移動速度
英語表記:seed lift rate
詳細
シード回転速度 結晶回転速度 S/R
英語表記:seed rotation rate crystal rotation
詳細
シーム溶接装置
英語表記:parallel seam
resistance
welding
equipment
詳細
シールドルーム
英語表記:shielding room
詳細
シクロプタン誘導体
英語表記:cyclobutane derivative
詳細
システムLSI
英語表記:system LSI
詳細
システムLSIテストシステム SoCテストシステム ミックスドシグナルテストシステム
英語表記:system LSI test
system
system on chip test
system
mixed signal test
system
詳細
シャロー ジャンクション
英語表記:shallow junction
詳細
シャワー板 カソード
英語表記:shower plate cathode
詳細
シュムープロット
英語表記:shmoo plot
詳細
ショットキバリア
英語表記:Schottky barrier
詳細
ショットキ効果
英語表記:Schottky effect
詳細
ショットキ障壁
英語表記:Schottky barrier
詳細
ショットスケーリング ショット倍率
英語表記:shot scaling
詳細
ショットローテーション
英語表記:shot rotation
詳細
ショット雑音
英語表記:Shot noise
詳細
ショット数
英語表記:number Of shots
詳細
シリカ計
英語表記:silica analyzer
詳細
シリコントレンチ
英語表記:silicon trench
詳細
シリコンバレー
英語表記:Silicon Valley
詳細
シリコン基板
英語表記:silicon substrate
詳細
シリコン酸化膜
英語表記:silicon dioxide
詳細
シリコン単結晶の物性
英語表記:physical properties
of single crystal
詳細
シリコン単結晶成長
英語表記:silicon single
crystal growth
詳細
シリコン融液対流
英語表記:silicon liquid phase convection
詳細
シリサイド化
英語表記:silicidation
詳細
シリル化プロセス
英語表記:silyl process
詳細
シリル化処理装置
英語表記:silylation system
詳細
シリンダキャビネット
英語表記:cylinder cabinet
詳細
シリンダボンベ 内部研磨クリーンボンベ
英語表記:cylinder
ultra clean cylinder
詳細
シロキサン結合
英語表記:siloxane bonding
詳細
シンギュレーションセパレート
英語表記:singulation separate
詳細
シングルカセットロータ
英語表記:single cassette rotor
詳細
ジーメンス法
英語表記:siemens method
詳細
ジアゾナフトキノン感光剤
英語表記:diazonapthoquinone Photo active compound
詳細
ジッタ測定器 時間解析器 タイムメジャーメントデジタイザ
英語表記:time analizer
詳細
ジャストエッチング
英語表記:just etching
詳細
ジャム率
英語表記:jamming rate
詳細
ジャンクションスパイク
英語表記:junction spike
詳細
ジルコニアスラリー
英語表記:zirconium slurry
詳細
スーパーボルテージ機能
英語表記:super voltage
function
詳細
スーパバッファメモリ SBM HBM
英語表記:super buffer
memory
詳細
スイープ測定サーチ
英語表記:sweep mesurement
詳細
スイッチング特性
英語表記:switching characteristic
詳細
スキップ測定
英語表記:skip measurement
詳細
スキャナーサイトフラットネス SFFD SFSR
英語表記:scanner site flatnesss site front least-squares subsite deviation site front least-squares subsite range
詳細
スキャンディレクション
英語表記:scan direction
詳細
スキャンデザイン デバイス測定機能
英語表記:test function of scan
designed devices
詳細
スキャンパステスト
英語表記:scan pass
詳細
スキャンビーム電流
英語表記:scanned beam current
詳細
スキュ-調整PLL
英語表記:Skew adjust PLL
詳細
スクリーニング
英語表記:screening
詳細
スクリーニング
英語表記:screening
詳細
スケール防止剤
英語表記:scale inhibitors
詳細
スタッカクレーンカセットリフタ
英語表記:stacker crane
cassette lifter
詳細
スタックド スタックドCSP
英語表記:stcked
stcked CSP
詳細
スタティック バーンイン装置
英語表記:static burn-in
system
詳細
スタティックファンクションテスト
英語表記:static functional
test
詳細
スタンバ ディプレスステージ
英語表記:stamper
depressed stage
詳細
スタンピングヘッド
英語表記:stamping head
詳細
スタンピングリードフレーム
英語表記:stamping lead-frame
詳細
スチーム酸化
英語表記:steam oxidation
詳細
スチーム酸化
英語表記:steam oxidation
詳細
ステージ移動
英語表記:stage moving
詳細
ステージ姿勢
英語表記:stage attitude
詳細
ステージ連続移動
英語表記:Stage continuous movmg
詳細
ステッチボンド
英語表記:stitch bonding
詳細
ステップアンドスキャン
英語表記:step-and-scan
詳細
ステップアンドリピート
英語表記:step and repeat
詳細
ステップエッチング
英語表記:step etching
詳細
ステップカット
英語表記:step cutting
詳細
ステップカバレージ 回り込み率
英語表記:step coverrage
詳細
ステップカバレッジ
英語表記:Step coverage
詳細
ステップカバレッジ
英語表記:Step coverage
詳細
ステップカバレッジ
英語表記:Step coverage
詳細
ステップピッチ
英語表記:step pitch
詳細
ステップ式投影露光装置
英語表記:stepping projection aligner
詳細
ステンシルマスク
英語表記:stencil mask
詳細
ストッパー膜
英語表記:stopper film
詳細
ストリエーション
英語表記:striation
詳細
ストリエーション
英語表記:striation
詳細
ストレスマイグレーション
英語表記:stress-migration
詳細
ストレスマイグレーション
英語表記:stress-induced migration
詳細
ストレスマイグレーション(SM)
英語表記:Stress-Migration
詳細
ストレスマイグレーション試験
英語表記:stress migration
詳細
ストレッチ アンドスクイズ
英語表記:stretch and squees
詳細
ストロープコンパレータ
英語表記:strobed comparator
詳細
ストロボ法
英語表記:stroboscopic
imaging
詳細
スナップキュア
英語表記:snap cure
詳細
スパークギャップ
英語表記:spark gap
詳細
スパークギャップ
英語表記:spark gap
詳細
スパイラル型逆浸透膜モジュール
英語表記:spiral-wound type reverse osmosis
membrane module
詳細
スパッタイオンポンプ
英語表記:sputter ion pump
詳細
スパッタエッチング
英語表記:sputter etching
詳細
スパッタエッチング
英語表記:スパッタエッチング
詳細
スパッタガン スパッタリング装置
英語表記:sputter-gun sputtering system
詳細
スパッタリング
英語表記:sputtering
詳細
スパッタリング
英語表記:sputtering
詳細
スパッタリング装置 スパッタ装置
英語表記:sputtering system
詳細
スパッタ率
英語表記:sputtering yield
詳細
スパッタ率(スパッタリング率)
英語表記:sputter rate
詳細
スピンコータ
英語表記:spin coater
詳細
スピンコート法
英語表記:spin coat method
詳細
スピンチャック
英語表記:spin chuck
詳細
スピンチャック
英語表記:spin chuck
詳細
スピンデベロッパ
英語表記:spin developer
詳細
スピンドライヤ
英語表記:spin dryer
詳細
スピンモータ 立ち上がり特性
英語表記:acceleration
詳細
スピンモータ回転数
英語表記:rotation speed
詳細
スピン乾燥
英語表記:spin drying
詳細
スピン乾燥
英語表記:spin drying
詳細
スピン洗浄
英語表記:spin cleaning
詳細
スピン洗浄装置
英語表記:spin cleaner
詳細
スピン洗浄装置
英語表記:spin cleaner
詳細
スプリンクラー設備
英語表記:sprinkler system
詳細
スプレーデベロッパ
英語表記:spray developer
詳細
スプレー型(バッチ)
英語表記:spray type
詳細
スプレー現像
英語表記:spray developing
詳細
スプレー式エッチング装置
英語表記:spray etching system
詳細
スプレー塗布
英語表記:spray coating
詳細
スペアロー/カラム予備行/列リンボー
英語表記:spare row/columnlimbo
詳細
スマートカット
英語表記:smart cut
詳細
スライスベース
英語表記:slice base
詳細
スライム防止剤
英語表記:slime inhibitors
詳細
スラリーセパレータ
英語表記:slurry separator
詳細
スラリー分析終点検出法
英語表記:end point detection by slurry constituent analysis
詳細
スリーウェイ方式
英語表記:three-way
詳細
スルーザウォール構造
英語表記:through the wall
structure
詳細
スループット
英語表記:throughput
詳細
スルーホール
英語表記:through hole
詳細
スローベント ソフトベント
英語表記:slow vent soft vent
詳細
スロー排気 ソフト排気
英語表記:slow pumping slow roughing soft roughing
詳細
スワップ搬送
英語表記:swapped
transportation
詳細
セミコンショー
英語表記:SEMICON SHOW
詳細
セミフルカット
英語表記:semi full cutting
詳細
セラミック基板
英語表記:ceramic substrate
詳細
セリアスラリー
英語表記:ceria slurry
詳細
セルフアライメント
英語表記:self alignment effect
詳細
セルフグラインド
英語表記:self grinding
詳細
セルフバイアス 陰極電圧降下 Vdc
英語表記:self bias
詳細
セルプロジェクション
英語表記:cell projection
詳細
センタブロック
英語表記:center block
詳細
ゼータ電位
英語表記:zeta potential
詳細
ゼータ電位 界面同電位
英語表記:zeta potential
electrokinetic
potential
詳細
ゼーベック効果
英語表記:Seebeck effect
詳細
ゼロエミッション 産業廃棄物ゼロ
英語表記:zero emission
詳細
ソースキャビネット
英語表記:source cabinet
詳細
ソースマグネット
英語表記:ion source magnet
詳細
ソース接地増幅回路
英語表記:grounded source amplifier Circuit
詳細
ソープションポンプ
英語表記:sorption pump
詳細
ソケット式コンタクト
英語表記:socket type contact
詳細
ソフトランディング
英語表記:soft landing
詳細
ソルダマスク
英語表記:solder mask
詳細
ソルダレジスト
英語表記:solder resist
詳細
ソルダ封止装置
英語表記:solder sealing
equipment
詳細
ターゲットスキャン
英語表記:target scan
詳細
ターゲット点 問題点
英語表記:tagget point
recognition point
詳細
ターゲット利用効率
英語表記:efficiency of target utilization
詳細
ターボ分子ポンプ
英語表記:turbo molecular
詳細
ターンテーブル
英語表記:turn table
詳細
タイバー ダムバー
英語表記:tie bar
dam bar
詳細
タイミングエッジ
英語表記:timing edge
詳細
タイミングジェネレータ
英語表記:timing generator
詳細
タイミング相数 クロック相数
英語表記:number of timing
phase
number of clock
詳細
タイムモジュレーションエッチング
英語表記:time modulation etching
詳細
タッチローラ
英語表記:touch roller
詳細
タブレットプリヒート装置
英語表記:tablet pre heater
詳細
タンデム型装置
英語表記:tandem electrostatic accelerator
詳細
ダイアライメント
英語表記:die alignment
詳細
ダイクリーナ
英語表記:mold cleaner
詳細
ダイシアテスタ
英語表記:die shear tester
詳細
ダイシア強度
英語表記:die shear strength
詳細
ダイシングソー ダイサ
英語表記:dicing saw
詳細
ダイシング装置
英語表記:Dicing Equipment
詳細
ダイナミック バーンイン装置
英語表記:dynamic burn-in
system
詳細
ダイナミッククランプ 機能
英語表記:dynamic clamp
詳細
ダイナミックパターントレーサ パターントレーサ パターンシミュレータ
英語表記:dynamic pattern
tracer
pattern tracer
pattern simulator
詳細
ダイナミックファンクションテスト
英語表記:dynamic functional
test
詳細
ダイナミックロード プログラマブルロード アクティブロード
英語表記:dynamic load
programmable load
active load
詳細
ダイナミック電源電流測定
英語表記:dynamic power
current
measurement
詳細
ダイバーカット
英語表記:tiebar cutting
詳細
ダイバイダイアライメント
英語表記:die-by-die alignment
詳細
ダイバイダイティルト ダイバイダイレベリング
英語表記:die-by-die tiliting
die-by-die leveling
詳細
ダイボンダ
英語表記:die bonding
詳細
ダイボンティング
英語表記:die bonding
詳細
ダイボンディング
英語表記:die bonding
詳細
ダイボンディング材料
英語表記:die bonding materials
詳細
ダイヤモンドCVD
英語表記:diamond CVD
詳細
ダイヤモンドホイール
英語表記:cup shaped daiamond grinding wheel
詳細
ダイヤモンドワイヤ
英語表記:daimonnd wire
詳細
ダイヤモンド外周刃といし
英語表記:diamond blade
詳細
ダイレクトインデックス機能 サンプリングプローブ機能
英語表記:direct index function
sampling prove
function
詳細
ダイレクトピックアップ ボンディング
英語表記:direct pick-up
詳細
ダイレクト印刷機
英語表記:printing press
詳細
ダウンセット アップセット
英語表記:down set
up set
詳細
ダウンフロー型アッシング装置 ダウンストリーム型アッシング装置
英語表記:down flow asher
down stream achere
詳細
ダッシュネック
英語表記:Dash's neck
詳細
ダブルスキャン デュアルスキャン
英語表記:dual scan
詳細
ダマシンプロセス
英語表記:damascene process
詳細
ダミーウェーハ
英語表記:dummy wafer
詳細
ダミーウェーハ
英語表記:dummy wafer
詳細
ダミーサイクル
英語表記:dummy cycle
詳細
ダミーパターン
英語表記:dummy pattern
詳細
ダムバー残り
英語表記:protrusion tiebar
詳細
ダンサローラ
英語表記:dancer roller
詳細
チェーン注入
英語表記:chained implants
詳細
チェイスブロック
英語表記:chase unit
詳細
チップアライメント
英語表記:chip alignment
詳細
チップクラック
英語表記:chip crack
詳細
チップクラック解析
英語表記:simulation of die cracking
詳細
チップバーンイン
英語表記:chip burn-in
詳細
チップ関連故障解析手法
英語表記:failure analysis methods related to device chips
詳細
チップ上の故障メカニズム
英語表記:failure mechanism on device chips
詳細
チップ裏面側からの解析法
英語表記:failure analysis from backside of chips
詳細
チャージアップ
英語表記:charge up
詳細
チャージアップダメージ
英語表記:Charge up Damage
詳細
チャージポンピング法
英語表記:charge pumping method
詳細
チャージポンプ回路
英語表記:charge pump circuit
詳細
チャイルド・ラングミュアの式
英語表記:Child-Langmuir equation
詳細
チャックテーブル
英語表記:chuck table
詳細
チャック洗浄機構
英語表記:chuck cleaning mechanism
詳細
チャネリング
英語表記:channeling
詳細
チャネリング
英語表記:channeling
詳細
チャネリング
英語表記:channeling
詳細
チャネリング防止
英語表記:channeling protection
詳細
チャネルストッパ
英語表記:channel stopper
詳細
チャンネリング
英語表記:Channeling
詳細
チャンバ
英語表記:chamber vessel
詳細
チャンバクリーニング プラズマクリーニング
英語表記:chamber cleaning plasma cleaning
詳細
チャンバコントローラ
英語表記:chamber controller
詳細
チューブコントローラ
英語表記:tube controller
詳細
チューブラ型逆浸透膜モジュール 管状型逆浸透膜モジュール
英語表記:tubular type reverse
osmosis membrane
詳細
チョクラルスキー法
英語表記:Czochralski
詳細
チョッパ機構
英語表記:chopper mechanism
詳細
ツールチェンジャ
英語表記:tool changer
詳細
ツールハイト
英語表記:tool height
詳細
ツール研磨
英語表記:tool lapping
詳細
ツール取付長さ
英語表記:setting length of tool
詳細
ツエナ効果
英語表記:Zener effect
詳細
テーパエッチング
英語表記:taper etching
詳細
テーブルティア
英語表記:table tear
詳細
テープカット
英語表記:tape cutting
詳細
テープキャリヤ
英語表記:tape carrier
film carrier
詳細
テープキャリヤパッケージ PCP
英語表記:tape carrier
package
詳細
テープボンディング TAB
英語表記:tape automated bonding
詳細
テープレスカット
英語表記:tapeless cuttiing
詳細
テープ剥離装置
英語表記:tape peeling machine
詳細
テール処理
英語表記:tail process
詳細
ティルトステップ
英語表記:multiple tilt angle
implantation
詳細
テイルのばらつき
英語表記:tail length
dispersion
詳細
テイルレングス
英語表記:tail length
詳細
テクスチャマッピング
英語表記:texture mapping
詳細
テスタ
英語表記:IC test system
詳細
テスタコンピュータ TCP テストプロセッサコントローラ テスタコントローラ
英語表記:tester control
processor
詳細
テストべクタジェネレータ
英語表記:test vector
generator
詳細
テストシミュレータ
英語表記:test simulator
詳細
テストステーション
英語表記:test station
詳細
テストスペック
英語表記:test specification
詳細
テストバーンイン装置 テスティングバーンイン装置
英語表記:test burn-in system
testing burn-in
system
詳細
テストパターンメモリ ローカルメモリ
英語表記:test pattern memory
local memory
詳細
テストボックス アプリケーションボックス
英語表記:test box
application box
詳細
テストレートピリオド
英語表記:test rate
period
詳細
テルル化水銀カドミウム
英語表記:HgCdTe
詳細
テレセントリック
英語表記:telecentric system
詳細
テンションヘッド チャックボディ ホイールヘッド
英語表記:tension head
詳細
データセパレーションテスト
英語表記:data separation
詳細
データトポロジ機能 データスクランブル機能
英語表記:data topological fanction
詳細
データベースシステム
英語表記:data base system
詳細
データリテンションテスト
英語表記:data retension test
詳細
データレベル電源
英語表記:data level source
詳細
データロギング データログ
英語表記:data logging
data log
詳細
データログメモリ
英語表記:data logging
memory
詳細
データ処理
英語表記:data processing
詳細
ディザドPLL
英語表記:Dithered PLL
詳細
ディスペンサノズル
英語表記:dispenser nozzle
詳細
ディップデベロッパ
英語表記:dip developer
詳細
ディファレンシャル DC測定
英語表記:differential DC
measurement
詳細
デカボラン注入
英語表記:decaborane implantation
詳細
デッドウェイト方式
英語表記:dead weight type
詳細
デバイスGNDリレー
英語表記:DUT ground relay
詳細
デバイスプログラム テストプログラム
英語表記:device program
test program
詳細
デバイスプログラム トランスレータ
英語表記:device program
translator
詳細
デバイ温度
英語表記:Debye temperature
詳細
デバイ遮蔽
英語表記:debye shield
詳細
デバイ長
英語表記:debye length
詳細
デマウント装置 ウェーハ剥がし機
英語表記:demounting machine demount station
詳細
デューティ比
英語表記:duty ratio
詳細
デュアルALPG
英語表記:dual ALPG
詳細
デュアルカット
英語表記:dual cutting
cuple cutting
詳細
デュアルゲート
英語表記:dual-gate
詳細
デュアルタイミングジェネレータ
英語表記:dual timing
generator
詳細
デュアルダマシン
英語表記:dual damascene
詳細
デュアルダマシン法
英語表記:dual-damacene
詳細
トータルオーバレイ精度
英語表記:total overlay accuracy
詳細
トータルメタルロス
英語表記:total metal loss
詳細
トップリング
英語表記:toppuringu
詳細
トップリング 強制駆動機構
英語表記:top ring forced drive
詳細
トライオード型RIE装置
英語表記:triode reactive ion etching system
詳細
トラバーサ リールトラバースユニット
英語表記:traverser
unit of traversing reel
詳細
トランジスタ
英語表記:Transistor
詳細
トランスインピテダンス増幅器
英語表記:trans impedance amplifier
詳細
トランスデューサ
英語表記:transducer
詳細
トランスファモールド
英語表記:transfer molding
詳細
トランスファ出力 射出力
英語表記:transfer force
詳細
トランバース滴下
英語表記:transverse dispense
詳細
トリガ端子
英語表記:trigger terminal
詳細
トリクロルシラン:SiHCl3
英語表記:tri-clorosilane
詳細
トリハロメタン
英語表記:trihalomethanes
詳細
トルク電流終点検出法
英語表記:torque current end point detection
詳細
トレースガスモニタ
英語表記:trace gas monitor
詳細
トレー搬送
英語表記:tray handling
詳細
トレンチエッチング
英語表記:trench etching
詳細
トレンチファースト
英語表記:trench first
詳細
トレンチ内ドーピング
英語表記:doping in trench
詳細
トレンチ分離
英語表記:shallow trench isolation
詳細
トンネル効果
英語表記:tunneling effect
詳細
トンネル効果
英語表記:tunnel effect
詳細
ドーズウインドウ
英語表記:dose window
詳細
ドーズ合わせ込み
英語表記:dose matching
詳細
ドーパント蒸発法
英語表記:dopant
evaporation
詳細
ドーピング(不純物添加)
英語表記:Doping
詳細
ドーピング効果
英語表記:doping effect
詳細
ドーピング装置
英語表記:doping system
詳細
ドープトオキサイド
英語表記:doped oxide
詳細
ドープドオキサイド
英語表記:doped oxide
詳細
ドットロギング
英語表記:dot logging
詳細
ドナーキラー処理
英語表記:donor killer treatment
詳細
ドナー準位
英語表記:donor level
詳細
ドナー消去 ドナーキラー
英語表記:donor annihilation donor killer
詳細
ドライインードライアウト
英語表記:dry-in dry-out
詳細
ドライイン・ドライアウト
英語表記:dry in dry out
詳細
ドライエッチング
英語表記:Dry Etching
詳細
ドライエッチング
英語表記:dry etching
詳細
ドライエッチング性
英語表記:dry etching
詳細
ドライエッチング装置
英語表記:dry etching system
詳細
ドライエッチング装置
英語表記:dry etching equipment
詳細
ドライホーニング装置
英語表記:dry powder horning
machine
詳細
ドライポンプ
英語表記:dry vacuum pump
詳細
ドライ現像
英語表記:dry developing
詳細
ドライ酸化
英語表記:dry oxidation
詳細
ドライ洗浄
英語表記:dry cleaning
詳細
ドライ洗浄装置
英語表記:dry cleaning
equipment
詳細
ドライ洗浄法
英語表記:dry cleaning method
詳細
ドラフトチャンバ
英語表記:draft chamber
詳細
ドレインボックス
英語表記:drain box
詳細
ナノインプリント
英語表記:Nano Imprint
詳細
ナノトポロジー
英語表記:nanotopology
詳細
ナノメータ描画
英語表記:nanometric lithography
詳細
ナローギャップ型RIE装置
英語表記:narrow gap reactive ion etching system
詳細
ナローギャップ半導体
英語表記:narrow gap semiconductor, narrow bandgap semiconductor
詳細
ニアフィールド走査型光学顕微鏡(NSOM)
英語表記:NSOM: Near-field Scanning Optical Microscope
詳細
ニ次元拡散
英語表記:two-dimensional diffusion
詳細
ニ次電子放出
英語表記:secondary—electron emission
詳細
ニ段階拡散
英語表記:two step diffusion
詳細
ネガ型レジスト
英語表記:negative type resist
詳細
ノズル レジストノズル
英語表記:nozzle
詳細
ノズルスキャン
英語表記:nozzle scan
詳細
ノックオン効果
英語表記:knock-on effect
詳細
ノッチ面取り装置
英語表記:wafer notch chamfering machine
詳細
ノボラック樹脂
英語表記:novolac resin
詳細
ハードケース対応ローダ
英語表記:hardcase adaptable loader
詳細
ハーフカット
英語表記:half cutting
詳細
ハーフトーン型位相シフトマスク
英語表記:attenuated Phaseーshifting mask, half-tone phase-shifting mask
詳細
ハーフトーン型位相シフト露光法
英語表記:attenuated Phaseーshifting method, half-tone phaseshifting method
詳細
ハイインピーダンス
英語表記:high-impedance
detection function
詳細
ハイエネルギーイオン注入装置
英語表記:High Energy Ion Implantation System
詳細
ハイドロプレーン現象
英語表記:hydroplane phenomenon
詳細
ハイブリットボンダ
英語表記:hybrid bonder
詳細
ハイブリッドIC(混成集積回路),ハイブリッドモジュール
英語表記:hybrid IC, hybrid module
詳細
ハイブリッドスキャン
英語表記:hybrid scan
詳細
ハブレスブレード リングブレード
英語表記:hubless blade
ring blade
詳細
ハロゲンランプ アニール装置
英語表記:halogen lamp annealer
詳細
ハロゲン化物消火設備
英語表記:halogenide
extinguishing
system
詳細
ハンドラ オートハンドラ
英語表記:handler
autohandler
詳細
バーティカルオートドーピング
英語表記:vertical autodoping
詳細
バーニング水素焼き
英語表記:burning
hydrogen gas burning
詳細
バーンインエスケープ
英語表記:burn-in escape
詳細
バーンインコントローラ
英語表記:burn-in controller
詳細
バーンインストレス機能
英語表記:burn-in stress
function
詳細
バーンインタイマ
英語表記:burn-in timer
詳細
バーンインチャンバ
英語表記:burn-in chamber
詳細
バーンイントラッキング トラッキング
英語表記:burn-in tracking
詳細
バーンイントレイ
英語表記:burn-in tray
詳細
バーンインボード
英語表記:burn-in board
詳細
バーンインボードイジェクタ
英語表記:burn-in board
ejecter
詳細
バーンインボードインサータ
英語表記:burn-in board
inserter
詳細
バーンインボードチェッカ
英語表記:burn-in board
checker
詳細
バーンインラック
英語表記:burn-in rack
詳細
バーンイン試験
英語表記:burn-in test
詳細
バーンイン装置
英語表記:burn-in system
詳細
バイアス-温度(B-T)試験
英語表記:Bias-Temperature test
詳細
バイアス スパッタリング装置
英語表記:bias sputtering system
詳細
バイアススパッタ
英語表記:bias sputter
詳細
バイアスプラズマCVD
英語表記:bias plasma CVD
詳細
バイナリサーチ バイナリスキャン
英語表記:binary search
binary scan
詳細
バイパスコンデンサリレードライバ
英語表記:by-pass capacitor
relay driver
詳細
バイパス漏洩
英語表記:bypass leakage
詳細
バキュームパッド
英語表記:vacuum pad
詳細
バスタブ曲線
英語表記:bath-tub curve
詳細
バッキングパッド
英語表記:backing pad
詳細
バッキングフィルム
英語表記:backing film
詳細
バッキングプレート
英語表記:backing plate
詳細
バックグラインド
英語表記:back grind
詳細
バックサイドダメージ
英語表記:back side damage
詳細
バックサイドダメージ
英語表記:Backside Damage
:BSD
詳細
バックサイドダメージ
英語表記:BSD(Backside Damage)
詳細
バックサイドプレッシャ
英語表記:back side pressure
詳細
バックサイドプレッシャー
英語表記:backside pressure
詳細
バックリンス
英語表記:back rinse
詳細
バックリンス
英語表記:back rinse
詳細
バッチ間注入均一性
英語表記:batch-to-batch dose
uniformity
詳細
バッチ式
英語表記:batch processing
詳細
バッチ式洗浄装置 ウェットステーション
英語表記:batch cleaning
equipmwnt
wet station
詳細
バッチ洗浄
英語表記:batch cleaning
詳細
バッチ搬送
英語表記:batch transportation
詳細
バッファ層
英語表記:buffer layer
詳細
バドル現像
英語表記:puddle developing
詳細
バブルリークテスト装置
英語表記:bubble leak tester
詳細
バリ
英語表記:burr
flash
bleed
詳細
バリアハイト
英語表記:barrier height
詳細
バリアブル・レンジ・ホッピング
英語表記:variable range hopping
詳細
バリアメタル
英語表記:barrier metal
詳細
バリアメタル
英語表記:barrier metals
詳細
バリアメタルの種類
英語表記:barrier metals
詳細
バリスティック伝導
英語表記:ballistic transport
詳細
バルク欠陥
英語表記:bulk defect
詳細
バルブマニホールドボックスガス供給制御装置
英語表記:gas supplying
system
詳細
バレル型アッシング装置
英語表記:barrel acher
詳細
バンクコントローラ
英語表記:bank controller
詳細
バンドギャッブナロウイング
英語表記:band gap narrowing
詳細
バンドギャップファレンス
英語表記:band-gap reference
詳細
バンド構造
英語表記:band structure
詳細
バンド理論
英語表記:Band Theory
詳細
バンプ ワイヤバンプ
英語表記:bump
wire bump
詳細
バンプ―構造
英語表記:bamboo structure
詳細
バンプテスト機能
英語表記:bump test unction
詳細
バンプ形成
英語表記:bump formation process
詳細
パーシャルエッチング
英語表記:partial etching
詳細
パーシャルサイト
英語表記:partial site
詳細
パージモード付シリンダバルブ
英語表記:cylinder valve with
purge mode
詳細
パースペクティブコレクション
英語表記:perspective collection
詳細
パーティクルカウンタ ダストカウンタ 光散乱パーティクルカウンタ
英語表記:particle counter
dust counter
light scattering
particle counter
詳細
パーティションパネル
英語表記:partition panel
詳細
パーティングライン
英語表記:parting line
詳細
パーピンテスタ パーピンリソーステスタ
英語表記:per-pin tester
per-pin resource
tester
詳細
パイプライン型A/D変換器
英語表記:pipeline A/D converter
詳細
パイロットピン
英語表記:pilot pin
location pin
詳細
パウダートラップ
英語表記:powder trap
詳細
パターンシフト
英語表記:pattern shift
詳細
パターンジェネレータ
英語表記:pattern generator
詳細
パターンマッチング法
英語表記:pattern matching method
詳細
パターンマルチプレクス機能
英語表記:pattern multiplex
function
詳細
パターン位置精度
英語表記:pattern placement accuracy
詳細
パターン依存性
英語表記:pattern dependence
詳細
パターン寸法精度
英語表記:dimension accuracy
詳細
パターン倒壊
英語表記:pattern collapse
詳細
パターン認識 画像認識
英語表記:pattern recognition
詳細
パターン発生プログラム
英語表記:pattern generation
program
詳細
パターン密度依存性
英語表記:pattern density dependence
詳細
パッケージそり解析
英語表記:Package warpage analysis
詳細
パッケージに発生する不良
英語表記:failures in package
詳細
パッケージの機能
英語表記:function of package
詳細
パッケージの種類
英語表記:variety of package
詳細
パッケージの電気特性解析モデル化
英語表記:Package electrical characteristic analysis modeling
詳細
パッケージクラック
英語表記:package crack
詳細
パッケージテスト ファイナルテスト
英語表記:package test
final test
詳細
パッケージノイズ解析
英語表記:analysis of package noise
詳細
パッケージ各部名称
英語表記:construction of package
詳細
パッケージ関連の故障メカニズム
英語表記:failure mechanism related to paskaging
詳細
パッケージ関連故障解析手法
英語表記:failure analysis related to packaging
詳細
パッケージ材料
英語表記:package materials
詳細
パッケージ電気的特性
英語表記:package electrical characteristics
詳細
パッシベーション
英語表記:passivation
詳細
パッドクリープ
英語表記:pad creep
詳細
パッド加圧リング
英語表記:pad pressure ring
詳細
パドル現像
英語表記:puddle developing
詳細
パラレルダウンフローリンス
英語表記:parallel downflow rinse
詳細
パラレルビーム
英語表記:parallel beam
詳細
パルスヒートツール
英語表記:pulse heated
thermode
pulse heat tool
詳細
パルスマスクテスト
英語表記:pulse mask test
詳細
パルスレーザ露光
英語表記:pulse laser exposure method
詳細
パルス変調プラズマエッチング装置
英語表記:pulse-time-modulated plasma etching equipment
詳細
パルセーションダンパアキュームレータエアチャンバ
英語表記:pulsation damper
accumulator
air chamber
詳細
ヒートスプレッダ
英語表記:heat spreader
詳細
ヒートブロック ヒート駒 ヒートプレート
英語表記:heat block
heat plate
詳細
ヒストグラムメモリ
英語表記:histogram memory
詳細
ヒューズリンク
英語表記:fuse link
詳細
ヒ化アルミニウム
英語表記:Aluminum arsenide
詳細
ヒ化ガリウム
英語表記:Gallium Arsenide : GaAs
詳細
ビームエネルギー
英語表記:beam energy
詳細
ビームコントロール
英語表記:beam control
詳細
ビームドリフト
英語表記:positioning drift
詳細
ビームフィルタ
英語表記:beam filter
詳細
ビームフォーマ
英語表記:beamformer
詳細
ビームポジショナ
英語表記:beam positioner
詳細
ビーム安定性
英語表記:beam stability
詳細
ビーム位置決め精度
英語表記:beam positioning
accuracy
詳細
ビーム径
英語表記:beam diameter
詳細
ビーム収束系
英語表記:beam focusing system
詳細
ビーム寸法精度
英語表記:beam Size accuracy
詳細
ビーム電流量 イオン電流量
英語表記:beam current
ion current
詳細
ビアファースト
英語表記:via first
詳細
ビットエラーレートテスト
英語表記:bit error rate test
詳細
ビットシリアル演算
英語表記:bit serial operational operation
詳細
ビデオ信号測定機能
英語表記:vodeo signal
measurement
詳細
ビトリファイド研削といし
英語表記:vitrified grinding wheel
詳細
ビルディングブロック
英語表記:building block
詳細
ビルドアップ基板
英語表記:build-up substrate
詳細
ビルドアップ基板
英語表記:build up PCB
詳細
ピボットディスペンスノズル
英語表記:pivot dispense nozzle
詳細
ピンエレクトロイクス
英語表記:pin electronics
詳細
ピンチカット
英語表記:pinch cutting
詳細
ピンホール漏洩
英語表記:pinhole leakage
詳細
ピンマルチプレクス機能
英語表記:pin-multiplex
function
詳細
ピンモニタ
英語表記:pin monitor
詳細
フーリエ変換赤外分光法
英語表記:Fourier Transform Infra-Red Spectroscopy
詳細
フーリエ変換赤外分光法 FT-IR
英語表記:Fourier transform infrared spectroscopy
詳細
フーリエ変換赤外分光法(FT-IR法)
英語表記:Fourier-Transform infrared spectroscopy
詳細
ファーネス移動ストローク
英語表記:furnace lift travel
詳細
ファイナルウェーハテスト ポストテスト
英語表記:final-wafer test
post test
詳細
ファイナルエナジー マグネット FEM
英語表記:final energy magnet
詳細
ファインアライメント
英語表記:fine alignment
詳細
ファインアライメント
英語表記:fine alignment
詳細
ファインピッチ
英語表記:fine pitch
詳細
ファインリークテスト
英語表記:fine leak testing
詳細
ファミリボックス ファミリヘッド
英語表記:family box
family head
詳細
ファラデーカップ
英語表記:Farady Cups
詳細
ファラデー系
英語表記:faraday system
詳細
ファンデアポー法
英語表記:Van der Pauw method
詳細
ファンフィルタユニット
英語表記:fan filter unit
詳細
フィジカル変換 ロジカル変換
英語表記:physical conversion
logical conversion
詳細
フィゾー干渉計方式
英語表記:Fizeau interferometer method
詳細
フィックの法則
英語表記:Fick'slaw
詳細
フィデューシャルマーク
英語表記:fiducial mark
詳細
フェーズクロック
英語表記:phase clock
詳細
フェイスダウンボンディング
英語表記:face down bonding
face bonding
詳細
フェイルビットマップ
英語表記:fail bit map
詳細
フェイルマーク
英語表記:fail mark
詳細
フェイルマークインスペクション インクドットインスペクション
英語表記:fail-mark inspection
ink dot inspection
詳細
フェルミ準位
英語表記:Fermi level
詳細
フォーウェイ方式
英語表記:four-way
詳細
フォーミングガス
英語表記:forming gas
mixed gas
詳細
フォトエッチング
英語表記:photo etching
詳細
フォトダイオード
英語表記:Photo Diode
詳細
フォトルミネセンス法
英語表記:photoluminescence spectroscopy
詳細
フォトレジスト
英語表記:photo resist
詳細
フォトレジスト・スピンコーティング
英語表記:Photo-resist Spin Coating
詳細
フォノン散乱
英語表記:phonon scattering
詳細
フットプリント
英語表記:foot print
詳細
フッ化不動態処理
英語表記:fluorine passivation
treatment
詳細
フッ酸
英語表記:hydrofluoric acid
詳細
フッ酸ベーパ
英語表記:hydrofluooric acid vapor
詳細
フッ素ドープSiO₂
英語表記:SiO₂ film fluorine doped silicon dioxide
詳細
フッ素樹脂
英語表記:FP : Fluoropolymer
詳細
フッ素添加シリコン酸化膜(SiOF膜)
英語表記:fluorine doped Silicon oxide
詳細
フュームドシリカ
英語表記:fumed silica
詳細
フラクタル構造
英語表記:fractal structure
詳細
フラッシュメモリ E/W サイクル試験装置
英語表記:flash memory E/W cycle test system
詳細
フラッシュランプ アニール装置
英語表記:flash lamp annealer
詳細
フランク・リード源
英語表記:Frank-Read-
source
詳細
フリーアクセスフロア
英語表記:free access floor
詳細
フリッカ雑音
英語表記:fliker noise
詳細
フリップチップボンダ
英語表記:flip chip bonder
詳細
フリップチップボンディング
英語表記:flip chip bonding
詳細
フリップチップボンディング フリップチップボンダ
英語表記:flip chip bonding
flip chip bonder
詳細
フリップチップ方式
英語表記:flip chip bonding
詳細
フルカット
英語表記:full cutting
詳細
フレームアベレージング
英語表記:frame averaging
詳細
フレームセンサ
英語表記:flame sensor
詳細
フレーム整列装置
英語表記:lead frame loader
詳細
フレーム搬送
英語表記:flame handling
詳細
フレキシブルプリント基板
英語表記:flexible printed wiring board
詳細
フレネル回折
英語表記:Fresnel diffraction
詳細
フローショップ
英語表記:flow shop
詳細
フローティングゾーン法 FZ法 浮遊帯域溶融法
英語表記:floating zone melting method
詳細
フロートポリシング
英語表記:float polishing
詳細
フロントサイドゲッタリング
英語表記:Front Side Gettering: FSG
詳細
ブライトエッチング
英語表記:bright etching
詳細
ブラシスクラバ
英語表記:brush scrubbing
詳細
ブラシスクラバ スクラブ洗浄機
英語表記:brush scrubber
詳細
ブラシ洗浄
英語表記:brush scrubbing
詳細
ブラッシング装置
英語表記:brushing machine
詳細
ブランカアレー
英語表記:blanker array
詳細
ブリッジ
英語表記:bridge failure, solder bridge
詳細
ブリュアンゾーン
英語表記:Brillouin zone
詳細
ブリユアン散乱
英語表記:Brillouin scattering
詳細
ブルーミング試験
英語表記:blooming test
詳細
ブレーキング装置
英語表記:wafer breaking equipment
詳細
ブレークスルー
英語表記:break through
詳細
ブレークダウン
英語表記:air break down
詳細
ブレードタイププロービングカード
英語表記:blade type probing card
詳細
ブレード原点セット カッタセット
英語表記:blade height calibration
詳細
ブレード張り上げ
英語表記:tensioning the blade
詳細
ブレード突き出し量
英語表記:blade exposure
詳細
ブレード破損検出装置
英語表記:blade breakage detection device
詳細
ブレード変位
英語表記:blade deflection
詳細
ブレード変位計
英語表記:blade deflection sensor
詳細
ブレード摩耗補正
英語表記:blade wear compensation
詳細
ブロックカット
英語表記:block cut
詳細
ブロックホスト
英語表記:block host
詳細
ブロック浮動小数点演算
英語表記:block floating point calculation
詳細
ブロッホ振動
英語表記:Bloch oscillation
詳細
プラスチックパッケージの組立プロセス
英語表記:assembly process for plastic package
詳細
プラズマCVD
英語表記:plasma - enhanced Chemical Vapor Deposition
詳細
プラズマCVD
英語表記:PECVD : Plasma Enhanced Chemical Vapor Deposition
詳細
プラズマCVD装置
英語表記:plasma enhanced CVD system
詳細
プラズマTEOS CVD
英語表記:plasma TEOS CVD
詳細
プラズマX線源
英語表記:plasma X-ray source
詳細
プラズマアッシング装置
英語表記:plasma ashing system
詳細
プラズマエッチング
英語表記:Plasma Etching
詳細
プラズマエッチング装置
英語表記:plasma etching system
詳細
プラズマダウンフロー
英語表記:plasma downflow
詳細
プラズマダウンフロー処理
英語表記:plasma downflow treatment
詳細
プラズマダメージRIE_Damage(損傷)
英語表記:Plasma Damage
詳細
プラズマドーピング
英語表記:plasma doping
詳細
プラズマドーピング装置
英語表記:plasma doping system
詳細
プラズマフラッドガン PFG
英語表記:plasma flood gun
詳細
プラズマ汚染
英語表記:plasma contamination
詳細
プラズマ源
英語表記:plasma source
詳細
プラズマ酸化
英語表記:plasma oxidation
詳細
プラズマ酸化
英語表記:plasma oxidation
詳細
プラズマ振動
英語表記:plasma vibration
詳細
プラズマ振動法
英語表記:plasma oscillation method
詳細
プラズマ洗浄
英語表記:plasma cleaning
詳細
プラズマ洗浄装置
英語表記:plasma cleaning equipment
詳細
プラズマ損傷
英語表記:plasma damage
詳細
プラズマ窒化
英語表記:plasma nitridation
詳細
プラズマ電位
英語表記:plasma potential
詳細
プラズマ内電位
英語表記:plasma inside potential
詳細
プラズマ薄膜化法
英語表記:plasma assisted thinning method
詳細
プラズマ分離型 マイクロ波 プラズマCVD装置
英語表記:after glow microwave plasma enhanced CVD system
詳細
プラズマ分離型プラズマエッチング装置
英語表記:down stream plasma etching system
詳細
プラズマ陽極酸化装置
英語表記:plasma oxidations system
詳細
プラナリゼーション
英語表記:planarization
詳細
プランジャ等圧機構
英語表記:transfer pressure balancing mechanism
詳細
プリアモルファス化
英語表記:pre-amorphization
詳細
プリアライメント
英語表記:pre alignment
詳細
プリアライメント
英語表記:prealignment
詳細
プリアライメント
英語表記:pre-alignment
詳細
プリアンプ
英語表記:preamplifier
詳細
プリウェーハテスト プリテスト
英語表記:pre-wafer test
pre test
詳細
プリクリーニング チャンバ
英語表記:pre-cleaning chamber
詳細
プリスパッタリング
英語表記:pre-sputtering
詳細
プリディスペンス ダミーディスペンス プライムディスペンス
英語表記:pre-dispense
dummy-dispense
prime-dispense
詳細
プリベーク ソフトベーク PAB
英語表記:pre-bake
soft-bake
post-apply-bake
詳細
プルテスタ
英語表記:pull tester
詳細
プルテスト 引張強度
英語表記:pull test
詳細
プレストンの式
英語表記:Preston's Equation
詳細
プローブカード
英語表記:probing card
詳細
プローブカード コンタクトプローブ
英語表記:probe card
contact probe
詳細
プローブマークインスペクション 針跡インスペクション
英語表記:probe-mark
inspection
詳細
プローブ針 プローブニードル
英語表記:probe needle
詳細
プロキシミティベーク
英語表記:proximity bake
詳細
プロジェクションアライナ
英語表記:projection aligner
詳細
プロジェクテッドレンジ(投影)
英語表記:Projected Range:Rp
詳細
プロセス インデュースト パーティクル カウンタ
英語表記:process induced particle counter
詳細
プロセスインテグレーション
英語表記:process integration
詳細
プロセスインテグレーション
英語表記:process integration
詳細
プロセスウィンドウ
英語表記:process window
詳細
プロセスエリア
英語表記:process area
詳細
プロセスカップ 洗浄カップ スクラブステーション
英語表記:process cup
詳細
プロセスシミュレーション
英語表記:process simulation
詳細
プロセスチューブ
英語表記:process tube
詳細
プロセスデータ
英語表記:process data
詳細
プロセスレシピ
英語表記:process recipe
詳細
プロセス温度
英語表記:process temperature
詳細
プロセス管理システム
英語表記:process
management
system
詳細
プロセス余裕度
英語表記:process margm, process latitude
詳細
プロセス用排ガス処理装置
英語表記:exhaust gas
abatement
equipment for
processor
詳細
プロセス要因
英語表記:process induced error
詳細
プロダクトミックス
英語表記:product mix
詳細
ヘキソード型RIE装置
英語表記:hexode type reactive ion etching system
詳細
ヘッド間均一性 HTH
英語表記:head to head uniformity
詳細
ヘテロ接合
英語表記:hetero junction
詳細
ヘテロ接合
英語表記:hetero junction
詳細
ヘリウムリークデテクタ
英語表記:helium leak detector
詳細
ヘリカル型エッチング装置
英語表記:herical type etching equipment
詳細
ヘリコン波エッチング装置
英語表記:helicon etching system
詳細
ヘリコン波エッチング装置
英語表記:hericon wave etching equipment
詳細
ヘリコン波プラズマ
英語表記:helicon wave plasma
詳細
ベーキング装置
英語表記:baking unit
詳細
ベーパフェーズ装置
英語表記:VPS equipment, Vapor Phase Soldering equipment
詳細
ベーパフェーズ法
英語表記:Vapor Phase Method
詳細
ベアチップ実装
英語表記:bare chip assembly
詳細
ベイシステム
英語表記:bay system
詳細
ベクトル相関法
英語表記:vector correlation method
詳細
ベストフィット基準
英語表記:best fit plane reference
詳細
ベベルカット
英語表記:bevel cutting
詳細
ベルトレス搬送
英語表記:beltless transfer system
詳細
ベルヌーイチャック
英語表記:Bernoulli chuck
詳細
ページテスト機能
英語表記:page test function
詳細
ペニングイオン化電子分光
英語表記:Penning ionization spectroscopy
詳細
ペリクル保護膜
英語表記:pellicle fiilm
詳細
ホール効果
英語表記:Hall effect
詳細
ホール効果
英語表記:Hall effect
詳細
ホール効果
英語表記:Hall effect
詳細
ホーロー基板
英語表記:porcelain enamel substrate
詳細
ホウ素ゲッタリング
英語表記:laser Scattering
Tomography
Defect
詳細
ホットエレクトロン(熱い電子)
英語表記:hot electron
詳細
ホットキャリア試験
英語表記:hot -carrier test
詳細
ホットゾーンパーツ 加熱構成部品
英語表記:hot zone parts
詳細
ホットチャック ヒートアップステージ
英語表記:hot chuck
heat up stage
詳細
ホットプレートはんだ付け
英語表記:hot plate soldering
詳細
ホットプレートオーブン
英語表記:hot plate oven
詳細
ホッピング伝導
英語表記:hopping conduction
詳細
ホローファイバ型逆浸透膜モジュール 中空糸型逆浸透膜モジュール
英語表記:hollow fiber type
reverse osmosis
menbrane module
詳細
ホワイトバランス処理
英語表記:white balance
詳細
ボーダレス配線
英語表記:borderless wiring
詳細
ボートエレベータ
英語表記:boat elevator
詳細
ボートトランスファ
英語表記:boat transfer
詳細
ボートハンドラ
英語表記:boat handler
詳細
ボートローダ
英語表記:boat loader
詳細
ボート移動ストローク
英語表記:boat lift travel
詳細
ボート回転機構
英語表記:boat rotation mechanism
詳細
ボードインサートチェック機能
英語表記:board insert check
function
詳細
ボーム条件
英語表記:Bohm's condition
詳細
ボールシアテスタ
英語表記:ball shear tester
詳細
ボールシア強度 ボンドシア強度
英語表記:ball shere strength
詳細
ボールバンプ法
英語表記:ball bump method for bump formation
詳細
ボールボンディング ボールボンダ
英語表記:ball bonding
ball bonder
nail head
bonder
詳細
ボール圧着径
英語表記:squashed ball diameter
詳細
ボイド、ボイドフリー
英語表記:void, void free
詳細
ボルツマン方程式
英語表記:Boltzmann's equation
詳細
ボロンレスフィルタ
英語表記:boron free filter
詳細
ボンディングスピード
英語表記:bonding speed
詳細
ボンディングパッド パッド
英語表記:bonding pad
詳細
ボンディングパラメータ
英語表記:bonding parameter
詳細
ボンディングヘッド
英語表記:bonding head
詳細
ボンディングワイヤ
英語表記:bonding wire
詳細
ボンディング荷重
英語表記:bonding force
詳細
ボンディング精度
英語表記:bonding accuracy
詳細
ボンディング長さ ボンディングスパン
英語表記:bonding length
詳細
ポーラスシリカ
英語表記:porous Silica
詳細
ポーラス型イオン交換
樹脂 MR型イオン交換樹脂
英語表記:porous type ion
exchange resin
macro reticular type
ion exchange resin
詳細
ポアソン比
英語表記:Poisson's ratio
詳細
ポイゾンドビア
英語表記:poisoned Via
詳細
ポゴコンタクト ポゴピン
英語表記:POGO contact
POGO pin
詳細
ポジ型レジスト
英語表記:positive type resist
詳細
ポストベーク アフタベーク ハードベーク
英語表記:post -bake
after-bake
hard-bake
詳細
ポッティング樹脂
英語表記:potting resin
詳細
ポッティング装置
英語表記:potting equipment
詳細
ポッドオープナー
英語表記:pod opener
詳細
ポリイミド
英語表記:PI : Polyimide
詳細
ポリイミド塗布
英語表記:polyimide coating
詳細
ポリゴンレンダリング
英語表記:polygon rendering
詳細
ポリサイド膜
英語表記:polycide film
詳細
ポリシュストップ
英語表記:polish stop
詳細
ポリシングスラリー
英語表記:polishing slurry
詳細
ポリシングスラリーの分散性
英語表記:dispersibility of polishing slurry
詳細
ポリッシュ レート
英語表記:polish rate
詳細
ポリッシング(鏡面研磨)
英語表記:Polishing
詳細
ポリバックシール
英語表記:PBS
(Poly Back Seal)
詳細
ポリ結晶 多結晶
英語表記:polycrystal
詳細
マーキングインク
英語表記:marking ink
詳細
マーキングエリア マーキング面積
英語表記:marking area
詳細
マーキングプローバ
英語表記:marking prober
詳細
マーキングマシン
英語表記:marking machine
詳細
マーキング強度 捺印強度
英語表記:marking strength
詳細
マーキング光学系
英語表記:marking opptics
詳細
マーキング速度
英語表記:marking speed
詳細
マーク検出
英語表記:mark detection
詳細
マイクロカラム
英語表記:nucrocolumn
詳細
マイクロシャロービット
英語表記:MSP:Micro Shallow Pit
詳細
マイクロスピリット
英語表記:microsplit
詳細
マイクロバブル
英語表記:micro bubble
詳細
マイクロプログラム
英語表記:micro program
詳細
マイクロプロセッサのマルチメディア拡張
英語表記:multimedia extensions for microprocessors
詳細
マイクロラフネス
英語表記:micro-roughness
詳細
マイクロラフネス
英語表記:micro-roughness
詳細
マイクロラフネス
英語表記:microroughness
詳細
マイクロラフネス
英語表記:microroughness
詳細
マイクロローディング効果
英語表記:Micro Loading Effect
詳細
マイクロローディング効果 RIEラグ
英語表記:microloading effect
RIE lag
詳細
マイクロ波 プラズマCVD装置
英語表記:microwave plasma enhanced CVD system
詳細
マイケルソン干渉法
英語表記:Michelson interference method
詳細
マウスホール
英語表記:mouse hole
詳細
マウント装置 ウェーハ貼付機
英語表記:mounting machcine mount station
詳細
マウント板
英語表記:mount plate top plate block carrier plate
詳細
マガジンスタッカ
英語表記:magazine stacker
詳細
マクロ成長(ストリエーション)
英語表記:macro growth
(striation)
詳細
マグネトロン スパッタリング装置
英語表記:magnetron sputtering system
詳細
マグネトロンスパッタ
英語表記:magnetron sputter
詳細
マグネトロン型RIE装置
英語表記:magnetron type RIE equil)ment
詳細
マグネトロン型RIE装置
英語表記:magnetron enhanced reactive ion etching system
詳細
マグネトロン放電
英語表記:magnetron discharge
詳細
マスキングブレード レチクルブラインド
英語表記:masking blade
reticle blind
詳細
マスク/レチクル
英語表記:mask/reticle
詳細
マスク・ブランクス
英語表記:Mask Brancks
詳細
マスクバイアス
英語表記:mask bias
詳細
マスクリニアリティ
英語表記:mask linearity
詳細
マスク欠陥検査
英語表記:mask defect inspection
詳細
マスク欠陥修正
英語表記:mask defect repair
詳細
マスク材工ッチング
英語表記:mask material etching
詳細
マスク自動チェンジャ
英語表記:mask auto changer
詳細
マスク寸法
英語表記:mask dimension
詳細
マスク描画
英語表記:photo mask writing
詳細
マスク用メンブレン
英語表記:mask membrane
詳細
マスク用絶縁膜
英語表記:mask dielectrics
詳細
マスフローメータ
英語表記:mass flow meter
詳細
マックスウェル・ポルツマン分布
英語表記:MaxwellーBoltzman distribution
詳細
マッチモード
英語表記:pattern matching
mode
詳細
マッチングユニット マッチングボックス マッチングネットワーク
英語表記:matching unit
matching box
matching network
詳細
マッチング率
英語表記:pattern matching ratio
詳細
マッピング装置
英語表記:mapping system
詳細
マトリックスフレーム
英語表記:matrix frame
詳細
マトリックスリードフレーム
英語表記:matrix lead-frame
詳細
マニピュレータ ヘッドスタンド ヘッド架台
英語表記:manipulator
詳細
マニュアルステーション
英語表記:manual station
詳細
マランゴニカによる乾燥方法
英語表記:Marangoni force dry
詳細
マランゴニ乾燥
英語表記:marangoni drying
詳細
マランゴニ乾燥
英語表記:marangoni drying
詳細
マルチアライメント
英語表記:multi alignment
詳細
マルチサイトプロービング マルチプロービング
英語表記:multi-site probing
詳細
マルチステップ処理
英語表記:multi-step processing
詳細
マルチチップパッケージ
英語表記:Multi Chip Package: MCP
詳細
マルチチャンバ装置
英語表記:multi-chamber system
詳細
マルチトーン測定機能
英語表記:multi-tone function
詳細
マルチプレクサ
英語表記:multiplexer
詳細
マルチボンディング
英語表記:multiple bonding
詳細
マルチマガジン方式
英語表記:multi magazine ttype
詳細
マルチワイヤソー
英語表記:multi wire saw
詳細
マルチ測定
英語表記:multi-die probing
詳細
マンハッタン現象
英語表記:Manhattan phenomenon, chip standing
詳細
ミクスドシグナルテスタ
英語表記:mixed-signal test system
詳細
ミクロ成長(異常偏析)
英語表記:micro growth
詳細
ミスフィット転位
英語表記:misfit dislocation
詳細
ミスフィット転位
英語表記:misfit dislocation
詳細
ミックスアンドマッチ
英語表記:mix-and-match-
詳細
ミップマッピング
英語表記:mipmapping
詳細
ミディアムカレントイオン注入装置
英語表記:Medium Current Ion Implantation System
詳細
ミニエンバイロメント
英語表記:minienvironment
詳細
ミニバッチ装置
英語表記:mini batch system
詳細
ミニプリーツ型エアフィルタ
英語表記:mini-pleats type air
filter
詳細
ミニマルファブ
英語表記:Minimal Fab
詳細
ミラーウェーハ 鏡面ウエーハ ポリッシュドウエーハ
英語表記:mirror wafer polished wafer
詳細
ミラーブラヴェ指数
英語表記:Miller-Bravais index
詳細
ミラーレンズ投影露光装置
英語表記:mirror-lens projection aligner
詳細
ミラー指数
英語表記:Miller index
詳細
ミラー投影露光装置
英語表記:mirror projection aligner
詳細
ムーアの法則
英語表記:Moore' s law
詳細
メインフレーム プラットフォーム
英語表記:main frame plat form
詳細
メカニカルクランプ機構
英語表記:mechanical clamp
詳細
メカニカルスキャン
英語表記:mechanical scan
詳細
メカニカルチャック
英語表記:mechanical check
詳細
メカノケミカルポリシング
英語表記:mechano chemical poliching
詳細
メカノケミカル現象
英語表記:mechano-chemistry
詳細
メガソニック洗浄
英語表記:megasonic cleaning
詳細
メガソニック洗浄装置 メガヘルツ超音波洗浄装置
英語表記:megasonic cleaning equipment
詳細
メガヘルツ超音波洗 浄装置 メガソニックスプレー洗浄装置
英語表記:mega hertz
ultrasonic cleaning
equipment
megasonic spray
cleaning
equipment
詳細
メタクリル酸系レジスト
英語表記:methacrylate based resist
詳細
メタライゼーション
英語表記:metallization
詳細
メタライゼーション技術
英語表記:metallization technology
詳細
メタルCVD
英語表記:metal Chemical Vapor Deposi tion
詳細
メタル・ゲート
英語表記:Metal Gate
詳細
メタルコア基板
英語表記:metal core substrate
詳細
メタル研削といし
英語表記:metal grinding wheel
詳細
メディアプロセッサ
英語表記:media processor
詳細
メディアン寿命
英語表記:median life
詳細
メモリアルテストパターン
英語表記:memory test pattern
詳細
メモリテスタ
英語表記:memory test system
詳細
メモリテストシステム メモリテスタ
英語表記:memory test system
memory tester
詳細
メモリモジュール
英語表記:memory module
詳細
メルトレベル制御システム
英語表記:automatic melt level control system
詳細
メンブレンプロービングカード
英語表記:membrane probing card
詳細
メンブレンプローブカード
英語表記:membrane probe
card
詳細
モールドプレス
英語表記:molding press
詳細
モールド金型
英語表記:molding die
詳細
モールド樹脂
英語表記:molding compounds
詳細
モールド装置
英語表記:molding equipment
詳細
モールド装置,モールディング装置
英語表記:molding equipment, molding system
詳細
モールド不良
英語表記:failures in molding process
詳細
モアレトポグラフィ方式
英語表記:Moire topography
詳細
モニタード バーンイン装置
英語表記:monitored burn-in
system
詳細
モニタボード
英語表記:monitor board
詳細
モニタリング
英語表記:monitaring
詳細
モノシラン:SiH
英語表記:mono-silane
詳細
モノシラン反応機構
英語表記:reaction mechanism of monosilane
詳細
ヤング率
英語表記:Young's modulus
詳細
ユースポイント
英語表記:point of use
詳細
ユースポイント配管 端末配管
英語表記:distribution piping for ultrapure water
詳細
ユーティリティ
英語表記:utilities
utility program
詳細
ユーティリティ ボックス
英語表記:utility box
詳細
ライトインテグレータ
英語表記:light integrator
詳細
ライフタイム測定器
英語表記:lifetime measurement system
詳細
ラインアンドスペース
英語表記:line and space
詳細
ラインバランス
英語表記:line balancing
詳細
ラザフォード後方散 乱分光法 RBS
英語表記:Rutherford back scattering method
詳細
ラザフォード後方散乱分析
英語表記:Rutherford Backscattering Spectrometry
詳細
ラザフォード後方散乱法
英語表記:RBS: Rutherford Backscattering Spectroscopy
詳細
ラジオシティ法
英語表記:radio city process
詳細
ラジカル源
英語表記:radical source
詳細
ラジカル洗浄
英語表記:cleaning using radicals
詳細
ラスタ走査
英語表記:raster scan
詳細
ラッピング(粗研磨)
英語表記:Lapping
詳細
ラップドウェーハ ラッピングウェーハ
英語表記:lapped wafer lappping wafer
詳細
ラップラウンド
英語表記:lap round
詳細
ラテラルオートドーピング
英語表記:lateral autodoping
詳細
ラマン散乱
英語表記:Raman scattering
詳細
ラマン散乱分光法
英語表記:Raman spectroscopy
詳細
ラマン分光法 Raman
英語表記:Raman spectroscopy
詳細
ランク別捺印
英語表記:rank marking
詳細
ラングミュアプローブ
英語表記:Langmmr probe
詳細
ランゲリア指数 飽和指数
英語表記:Langelier index
saturation index
詳細
ランダウ準位
英語表記:Landau level
詳細
ランナストップ
英語表記:runner stop
詳細
ランプCVD装置
英語表記:ultraviolet lamp heating CVD system
詳細
ランプパワーコントロール
英語表記:lamp power control
詳細
ランプヒータ
英語表記:lamp heater
詳細
ランプ電圧測定 ランプ破壊試験
英語表記:ramp voltage test
詳細
ランプ配列
英語表記:lamp arrangement
詳細
リークテスト
英語表記:leak testing
詳細
リーク電流
英語表記:leak current
詳細
リードカット リード切断
英語表記:lead cutting
lead trimming
詳細
リードフレーム
英語表記:lead frame
詳細
リードフレーム
英語表記:lead-frame
詳細
リードフレーム材料
英語表記:material of lead-frame
詳細
リードロケイタ
英語表記:lead locator
詳細
リード加工機
英語表記:trim and forming
machine
cut and bending
machine
詳細
リード加工金型
英語表記:trim and forming die
cut and bending die
詳細
リード成形 リード曲げ
英語表記:lead forming
詳細
リード部品挿入フローソルダリング
英語表記:flow soldering for THD (Through Hole mount Device)
詳細
リード平坦度
英語表記:coplanarity
詳細
リーフ式コンタクト
英語表記:lerf type contact
詳細
リアルタイム救済判定機能
英語表記:real-time
redundancy
judgement function
詳細
リウム+E1381:E1385
英語表記:TEA CO2レーザ
TEAレーザ
詳細
リキッドバーンイン装置
英語表記:liquid burn-in
system
詳細
リコンフィギュラブルロジック
英語表記:reconfigurable logic
詳細
リコンフィギラブルコンピ ューティング
英語表記:reconfigurable computing
詳細
リセス プラグロス
英語表記:recess
plug loss
詳細
リソグラフィ
英語表記:lithography
詳細
リソグラフィ
英語表記:lithography
詳細
リソグラフィー
英語表記:lithography
詳細
リソグラフィ技術
英語表記:lithography
詳細
リダンダンシ アナライザ RAメモリリペア アナライザ
英語表記:redundancy analyzer
詳細
リダンダンシ機能 不良救済機能
英語表記:
redundancy function
詳細
リチャージ法 マルチブリング法
英語表記:recharge CZ method multi pulling method
詳細
リテーナプレッシャー
英語表記:retainer
詳細
リテーナリング
英語表記:retainer ring
詳細
リニアテストシステム アナログテストシステム
英語表記:linear test system
analog test system
詳細
リニアヘッドプレート
英語表記:head plate for linear type
詳細
リバースモーション
英語表記:reverse motion
詳細
リファレンス電源
英語表記:reference source
詳細
リフレッシュ機能
英語表記:refresh function
詳細
リフロークラック
英語表記:package cracking during reflow soldering
詳細
リフロークラック解析
英語表記:simulation of reflow cracking
詳細
リフローソルダリングプロセス
英語表記:reflow soldering process
詳細
リフロー装置
英語表記:reflow furnace
詳細
リフロー装置
英語表記:reflow soldering equipment
詳細
リフロー平坦化
英語表記:reflow planarization
詳細
リフロー埋め込み温度
英語表記:reflow temperature
詳細
リフロー埋め込み機構
英語表記:reflow mechanism
詳細
リミット値
英語表記:limit value
詳細
リリースフィルム
英語表記:release film
詳細
リンクカットデータ ヒューズデータ
英語表記:link cut data
fuse data
詳細
リン化ガリウム
英語表記:Gallium Phosphide : GaP
詳細
リン拡散ゲッタリング
英語表記:Phosphorus Diffusion Gettering: PDG
詳細
ルーチン制御プログラム
英語表記:routine control
program
詳細
ループ ループ形状 ループ高さ
英語表記:loop
waire loop
loop shape
loop height
詳細
ループコントロール
英語表記:loop control
詳細
ループフィルター
英語表記:loop filter
詳細
レーザ(LASER)
英語表記:Light Amplification by Stimulated Emission of Radiation
詳細
レーザCVD装置
英語表記:laser assisted CVD system
詳細
レーザ アニール装置
英語表記:laser annealer
詳細
レーザ・アニール
英語表記:Laser Anneal
詳細
レーザはんだ付け
英語表記:Laser Soldering
詳細
レーザゲッタリング
英語表記:laser gettering
詳細
レーザゲッタリング
英語表記:laser gettering
詳細
レーザドーピング
英語表記:laser doping
詳細
レーザドーピング装置
英語表記:laser doping system
詳細
レーザパワー
英語表記:laser power
詳細
レーザビームテストシステム レーザビームプローバ
英語表記:laser beam test
system
laser beam prober
詳細
レーザプレーション
英語表記:laser ablation
詳細
レーザホモジナイザ
英語表記:laser homogenizer
詳細
レーザマーカ
英語表記:laser marker
詳細
レーザマーク
英語表記:laser marking
詳細
レーザマーク IDマーク
英語表記:laser mark
詳細
レーザマーク装置
英語表記:laser marker
詳細
レーザリペアシステム メモリリペアシステム リダンダントメモリリペアシステム
英語表記:laser repair system
memory repair
system
redundant memory
repair system
詳細
レーザレチクル描画
英語表記:laser reticle writing
詳細
レーザ干渉
英語表記:laser interference
詳細
レーザ共振器
英語表記:laser cavity
詳細
レーザ計測
英語表記:laser interferometer
詳細
レーザ顕微鏡
英語表記:laser microscope
詳細
レーザ出力安定度
英語表記:laser, output
stability
詳細
レーザ測定
英語表記:laser interferometry
詳細
レーザ溶接装置
英語表記:laser beam
welding
equipment
詳細
レーリーの式(2);焦点深度の式
英語表記:
詳細
レイズドフロア
英語表記:raised floor
詳細
レイトレーシング(光線追跡)法
英語表記:ray tracing process
詳細
レジスト・下地選択性
英語表記:selec tiV1 ty over resist and underlying materials
詳細
レジストの種類と感光機構
英語表記:resist materials and photosenSitive mechanism
詳細
レジストノズル
英語表記:resist nozzle
詳細
レジストヒーティング
英語表記:resist heating
詳細
レジストプロセス
英語表記:resist process
詳細
レジストボンプ
英語表記:resist pump
詳細
レジストポンプ ダイアフラムポンプ ベローズポンプ
英語表記:resist pump
diaphragm pump
bellows pump
詳細
レジストレーション
英語表記:registration
詳細
レジスト安定化ユニット
英語表記:resist curing system
詳細
レジスト安定化処理装置
英語表記:resist curmg system
詳細
レジスト温度調整
英語表記:resist temperature control
詳細
レジスト感度
英語表記:resist sensitivity
詳細
レジスト形状シミュレーション
英語表記:Simulation Of cross—sectional View Of resist
詳細
レジスト現像処理装置
英語表記:resist developer
詳細
レジスト処理装置
英語表記:resist processing equipment
詳細
レジスト選択性
英語表記:selectivity over resist
詳細
レジスト耐熱性
英語表記:resist thermal stability
詳細
レジスト耐熱性
英語表記:resist thermal stability
詳細
レジスト塗布処理装置
英語表記:resist coater
詳細
レジスト剥離液
英語表記:resist stripper
詳細
レジスト剥離装置
英語表記:resist stripping system
詳細
レジスト膜厚均一性
英語表記:resist film thickness uniformity
詳細
レジスト膜厚分布 レジスト膜均一性
英語表記:resist thickness uniformity
詳細
レジノイド研削といし
英語表記:resinoid grinding wheel
詳細
レジンカット
英語表記:resin cutting
詳細
レジン残り
英語表記:remaining resin
詳細
レチクルアライメント
英語表記:reticle alignment
詳細
レチクルステージ マスクステージ
英語表記:reticle stage
mask stage
詳細
レチクルホルダ マスクホルダ
英語表記:reticle holder
mask holder
詳細
レチクルローダ レチクルチェンジャ
英語表記:reticle loader
reticle changer
詳細
レチクルローテーション
英語表記:reticle rotation error
詳細
レチクル異物検査
英語表記:reticle inspection
詳細
レトログレード
英語表記:retro grade
詳細
レボリューションマーク
英語表記:revolution mark
詳細
レンズ投影露光装置
英語表記:lens projection aligner
詳細
ロータリーヘッドボンダ
英語表記:rotary head bonder
詳細
ローディングフレーム
英語表記:loading frame
詳細
ローディング効果
英語表記:loading effects
詳細
ローディング効果
英語表記:loading effect
詳細
ローディング効果 近接効果 疎密効果
英語表記:loading effect
proximity effect
詳細
ロードロック室 真空予備室
英語表記:load-lock chamber
詳細
ロギングメモリ
英語表記:logging memory
詳細
ロジックテスタ
英語表記:logic test system
詳細
ロジックテストシステム ロジックテスタ
英語表記:logic test system
logic tester
詳細
ロジックデバイス
英語表記:logic device
詳細
ワークブロック
英語表記:ingot mounting block
詳細
ワーク押さえ
英語表記:work clamp
work holder clamp
詳細
ワイドギャップ半導体
英語表記:widegap semiconductor, wide bandgap semiconductor
詳細
ワイブルグラフ ワイブルブロット
英語表記:weibull graph
weibull plot
詳細
ワイブル確率紙
英語表記:Weibull probability paper
詳細
ワイブル分布
英語表記:Weibull distribution
詳細
ワイヤのつぶれ幅
英語表記:deformation width of wire
詳細
ワイヤクランプ
英語表記:wire clamp
詳細
ワイヤタッチ
英語表記:wire touch
詳細
ワイヤテンション
英語表記:wire tension
詳細
ワイヤフィード
英語表記:wire feed
詳細
ワイヤボンティング
英語表記:wire bonding
詳細
ワイヤボンディング
英語表記:wire bonding
詳細
ワイヤボンディング装置
英語表記:wire bonder
詳細
ワイヤボンディング方式
英語表記:wire bonding
詳細
ワイヤリール ワイヤボビン リール
英語表記:wire reel
wire bobbin
reel
詳細
ワイヤレスボンティング装置
英語表記:wireless bonder
詳細
ワイヤレスボンディング ギャングボンディング シングルポイントボンディング
英語表記:wireless bonding
gang bonding
single point bonding
詳細
ワイヤ供給角度
英語表記:wire feed angle
詳細
ワイヤ曲がり カール量
英語表記:crooked wire
wire sway
wire curl
詳細
ワイヤ走行方式
英語表記:wire running method
詳細
ワイヤ流れ
英語表記:wiredeformation
wire sweep
詳細
ワックスレス研磨
英語表記:waxless polishing
詳細
ワンラインコンパイラ 1ラインコンパイラ
英語表記:one line compiler
詳細
αブレンディング
英語表記:alpha blending
詳細
μ-PCD法
英語表記: μ-Photo Conductive Decay method
詳細
ф450mm
英語表記:450mm Wafer
詳細
圧縮圧力
英語表記:compressive
stress
詳細
圧電気
英語表記:piezoelectricity
詳細
圧電効果
英語表記:piezoelectric effect
詳細
圧力ショット
英語表記:pressure shock
詳細
圧力検出器
英語表記:pressure sensor
詳細
圧力式ろ過器
英語表記:pressure filter
詳細
安全メガネ
英語表記:safety glasses
詳細
位相シフトマスク
英語表記:Phase-shifting mask
詳細
位相比較器
英語表記:phase comparator
詳細
位置ずれ
英語表記:Misalignment
詳細
異種金属間接触
英語表記:metal-metal contact
詳細
異種金属接触信頼性
英語表記:reliability of metalーmetal contact
詳細
異常拡散
英語表記:anomalous diffusion
詳細
異常酸素析出
英語表記:AOP:Anomalous Oxygen Precipitation, precipitate
詳細
異方性エッチング
英語表記:anisotropic etching
詳細
異方性エッチング
英語表記:anisotropic etching
詳細
移載インターロック
英語表記:transfer interlock
詳細
移載精度
英語表記:transfer accuracy
詳細
移送コレット 移送ノズル
英語表記:transfer collet
transfer nozzle
詳細
一過式冷却水設備
英語表記:one pass condensing
water system
one pass cooling
water system
詳細
一括式X線露光装置
英語表記:X-ray full wafer aligner
詳細
一次研磨
英語表記:stock removal polishing
詳細
一次純水
英語表記:primary pure water
詳細
一次純水装置 メイクアップシステム
英語表記:primary pure water
system
make-up system
詳細
一次粒子
英語表記:primary particle
詳細
一槽式洗浄装置 ワンバス式洗浄装置 単槽型洗浄装置
英語表記:one bath type cleaning equipment
詳細
一筆書き ライティング
英語表記:epoxy writing
詳細
一方向流型クリーンルーム
英語表記:unidirectional flow
clean room
詳細
印刷法
英語表記:printing method for bump formation
詳細
印字精度
英語表記:marking accuracy
詳細
引き上げ乾燥装置
英語表記:capillary drying
equipment
pull up drying
equipment
詳細
引出電圧
英語表記:extraction voltage
詳細
引出電極系
英語表記:extraction electrodes
詳細
引上シャフト
英語表記:pull shaft
詳細
陰極結合
英語表記:cathode coupling
詳細
隠面消去
英語表記:Hidden surface removal
詳細
右回転 CW
英語表記:clock wise
詳細
渦電流終点検出法
英語表記:end point detection by eddy current
詳細
渦電流法
英語表記:eddy current
詳細
液晶法
英語表記:Liquid crystal method
詳細
液浸ステッパ
英語表記:Immersion Stepper
詳細
液相エピタキシャル成長装置
英語表記:liquid phase epitaxial growth system
詳細
液体ソース供給装置
英語表記:liquid source delivery system
詳細
液体微粒子計
英語表記:liquid borne particle
counter
詳細
液体封止引上法 加圧引上法
英語表記:liquid encapsulation Czochralski method high pressure Czochralski method
詳細
円テーブル真空チャック
英語表記:vacuum chuck
詳細
円形カット
英語表記:circle cultting
詳細
円筒型プラズマエッチング装置
英語表記:barrel type plasma etching system
詳細
延性モード研削法
英語表記:brittle mode grinding
詳細
演算増幅器
英語表記:operational amplifier
詳細
演算増幅器
英語表記:operational amplifier
詳細
煙感知器
英語表記:smoke detector
詳細
遠心スプレー洗浄装置
英語表記:centrifugal spray cleaning equipment
詳細
遠心乾燥装置 スピンドライヤ
英語表記:centrifugal drying
equipment
spin rryer
詳細
鉛フリー
英語表記:lead-free
Pb-free
詳細
塩酸酸化
英語表記:hydrochloric acid oxidation
詳細
塩酸酸化
英語表記:HCl oxidation
詳細
塩素剤注入装置 塩素処理装置
英語表記:chlorination
equipment
詳細
汚染除去
英語表記:contamination control
詳細
汚泥脱水機
英語表記:sludge dehydrator
詳細
汚泥濃縮槽
英語表記:sludge thickener
詳細
往復カット
英語表記:down and up cutting
詳細
横広がり抑制
英語表記:lateral spread control
詳細
温湿度検出器
英語表記:temperature and
humidity sensor
詳細
温純水乾燥
英語表記:hot water drying
詳細
温超純水供給装置 超純水加熱装置
英語表記:deionized water
heating equipment
詳細
温度サイクル試験
英語表記:cycling test
詳細
温度プロファイル
英語表記:temperature profile
詳細
温度リカバリタイム
英語表記:temperature recovery time
詳細
温度下降時間
英語表記:temperature fall
time
詳細
温度計測終点検出法
英語表記:end point detection by temprerature measurement
詳細
温度上昇時間
英語表記:temperature rise
time
詳細
温度特性
英語表記:temperature dependence
詳細
温度偏差
英語表記:temperature
distribution
詳細
音響フォノン散乱
英語表記:acoustic phonon scattering
詳細
音響光学効果
英語表記:acousto-optic effect
詳細
下地ダメージ
英語表記:underlying damage layer
詳細
下地段差効果
英語表記:Effects of substrate steps
詳細
下定盤
英語表記:lower lapping plate
詳細
化学シフト
英語表記:chemical shift
詳細
化学吸着
英語表記:chemisorption
詳細
化学吸着
英語表記:chemisorption
詳細
化学吸着フィルタ
英語表記:chemical air filter
詳細
化学吸着フィルタ
英語表記:chemical filter
詳細
化学研磨
英語表記:chemical polishing
詳細
化学処理方式
英語表記:chemical treatment
詳細
化学洗浄
英語表記:chemical cleaning
詳細
化学増幅レジスト
英語表記:chemically amplified resist
詳細
化学増幅型レジスト
英語表記:chemicaiiy amplified resist
詳細
化学増幅型レジスト
英語表記:chemically amplified resist
詳細
化学増幅型レジスト処理装置
英語表記:chemically amplified resist processmg equipment
詳細
化学的機械研磨
英語表記:CMP : Chemical Mechanical Polishing
詳細
化学的気相成長
英語表記:CVD : Chemical Vapor Deposition
詳細
化学的気相成長法
英語表記:CVD:Chemical Vapor Deposition
詳細
化学的洗浄
英語表記:chemical cleaning method
詳細
化学量論的組成
英語表記:stoichiometry
詳細
化合物半導体
英語表記:Compound Semiconductor
詳細
化合物半導体のプロセス技術
英語表記:Process technology of compound semiconductors
詳細
価電子帯
英語表記:Valence band
詳細
加圧酸化
英語表記:high pressure oxidation
詳細
加圧法
英語表記:high pressure methode
詳細
加圧埋め込み
英語表記:force fill process
詳細
加工層
英語表記:work damage layer
詳細
加工変質層
英語表記:damaged layer
詳細
加重抵抗D/A変換器
英語表記:Weighted Resistor D/A Converter
詳細
加重抵抗D/A変換器
英語表記:weighted resistor D/A converter
詳細
加速管
英語表記:acceleration tube
詳細
加速寿命試験
英語表記:accelerated life test
詳細
加速電圧
英語表記:acceleration voltage
詳細
加速律
英語表記:acceleration factor
詳細
可変成形ビーム
英語表記:variable shaped beam
詳細
架橋反応
英語表記:cross¯linking
詳細
荷電中和法
英語表記:charge neutralization method
詳細
過酸化水素処理装置
英語表記:hydro-peroxide
removal equipment
詳細
過剰消去禁止機能 過剰書き込み禁止機能
英語表記:over-erase prohibit
function
over-programming
prohibit function
詳細
過冷却度
英語表記:supercooling limit
詳細
画素間演算
英語表記:pixel-to-pixel
operation
詳細
画像データプロセッサ
英語表記:image data
processor
詳細
画像データメモリ フレームメモリ
英語表記:image data memory
frame memory
詳細
画像データ処理
英語表記:image data
processing
詳細
画像間演算
英語表記:picture-to-picture
operation
詳細
画像処理検出
英語表記:Field Image Alignment:FIA
詳細
画面サイズ
英語表記:image field
詳細
解像度 解像力 解像線幅
英語表記:resolution
詳細
解像度限界
英語表記:resolution limit
詳細
解放循環式冷却水設備
英語表記:open circuit
condensing water
system
open circuit cooling
water system
詳細
解離過程
英語表記:dissociative process
詳細
解離断面積
英語表記:dissociation cross section
詳細
回転数立上げ時間
英語表記:revolution speed set up time
詳細
回転注入
英語表記:rotational implant
詳細
回転塗布
英語表記:rotation paint
詳細
回転版接触装置
英語表記:rotating biological
contactor
詳細
界面活性剤添加洗浄薬品
英語表記:surfactant added cleaning chemical
詳細
界面準位
英語表記:interface state
詳細
界面準位
英語表記:interface state
詳細
界面反応
英語表記:interface reaction
詳細
界面反応
英語表記:interfacial reaction
詳細
開環重合
英語表記:ring opening polymerization
詳細
開管法
英語表記:open-tube method
詳細
階間搬送
英語表記:interlevel
transportation
interfloor
transportation
詳細
階層処理
英語表記:hierarchical OperatiOn
詳細
階段接合,傾斜接合
英語表記:step junction, graded junction
詳細
外観検査装置
英語表記:visual inspection equipment
詳細
外形認識
英語表記:outline recognition
詳細
外周面取研削装置
英語表記:chamfer grinding machine
詳細
外装,リード加工
英語表記:lead finishing, lead forming
詳細
外装処理
英語表記:lead finishing
詳細
外部駆動能力
英語表記:external drive ability
詳細
外部燃焼装置
英語表記:external torch unit
詳細
外方拡散
英語表記:out-diffusion
詳細
外方拡散
英語表記:out-diffusion
詳細
拡散(熱拡散)
英語表記:Thermal Diffusion
詳細
拡散係数
英語表記:diffusion coefficient
詳細
拡散経路
英語表記:Diffusion path
詳細
拡散定数
英語表記:diffusion constant
詳細
拡散方法
英語表記:diffusion method
詳細
拡散炉
英語表記:Diffusion Furnace
詳細
格子間型拡散
英語表記:interstitial diffusion
詳細
格子間酸素
英語表記:interstitial
oxygen:Oi
詳細
格子定数
英語表記:lattice constant , lattice parameter
詳細
核磁気共鳴法 NMR
英語表記:nuclear magnetic resonance method
詳細
核磁気共嗚法
英語表記:NMR: Nuclear Magnetic Resonance
詳細
核阻止能
英語表記:unclear stopping
詳細
確率紙
英語表記:probability paper
詳細
角型カット
英語表記:square cutting
詳細
角錐コレット
英語表記:inverted pyramidal collet
詳細
角度研磨法
英語表記:angle lap method
詳細
角度分解オージェ電子分光法
英語表記:angle-resolved Auger electron spectroscopy
詳細
活性汚泥処理装置
英語表記:activated sludge
process equipment
詳細
活性化エネルギー
英語表記:activation energy
詳細
活性種 ラジカル
英語表記:activated species
radical
詳細
活性炭ろ過器 活性炭塔
英語表記:carbon filter
activated carbon
filter
詳細
活性炭吸着塔
英語表記:activated carbon
filter
詳細
乾式排ガス処理装置
英語表記:dry type exhaust gas
abatement
equipment
詳細
乾燥装置
英語表記:drying equipment
詳細
乾燥方法
英語表記:drying process
詳細
環化ゴム
英語表記:cyclic rubber
詳細
環境試験
英語表記:Environmental testing
詳細
環境制御型走査電子顕微鏡
英語表記:environmental scanning electron microscope
詳細
環境耐性
英語表記:environmental stability
詳細
緩衝フッ酸溶液
英語表記:buffered hydrofluoric acid
詳細
緩和誘起ゲッタリング
英語表記:relaxation-induced
gettering
詳細
貫通転位
英語表記:threading dislocation
詳細
還元剤注入装置
英語表記:dechlorination
equipment
詳細
還元性
英語表記:deoxidization
詳細
基準面
英語表記:reference plane
詳細
基板エッチング
英語表記:windowing
詳細
基板依存性
英語表記:substrate poisoning
詳細
基板温度効果
英語表記:effects of substrate temperature
詳細
基板冷却機構
英語表記:substrate cooling mechanism
詳細
期待値パターン
英語表記:expectation value
pattern
詳細
機械的方法
英語表記:mechanical cleaning method
詳細
機能モデル
英語表記:functional model
詳細
機能仕様
英語表記:functional specification
詳細
機能水
英語表記:functional water
詳細
機能水
英語表記:functional
water(ozonated
UPW, hydrogenated
UPW, specific gas
dissolved UPW
et.al)
詳細
機能設計とツール
英語表記:design and tool for function design
詳細
気圧倍率補正案
英語表記:magnification correction system for atmospheric pressure
詳細
気相エピタキシャル成長装置
英語表記:vaxialapor phase epitaxial growth system
詳細
気相拡散
英語表記:gas-phase diffusion
詳細
気相拡散
英語表記:vapor-phase diffusion
詳細
気相拡散法
英語表記:vapor Phase diffusion method
詳細
気相成長
英語表記:vapor phase growth
詳細
気相洗浄装置
英語表記:vapor phase cleaninge equipment
詳細
気体浮上搬送装置
英語表記:gas floating
transportationn
詳細
気密封止
英語表記:hermetic seal
詳細
気密封止
英語表記:hermetic sealing
詳細
気流可視化
英語表記:airflow visualization
詳細
気流速度
英語表記:airflow velocity
詳細
気流平行性
英語表記:airflow parallelism
詳細
擬似欠陥
英語表記:false defect
詳細
犠牲酸化
英語表記:sacrified oxidation
詳細
逆RIEラグ
英語表記:inverse RIE lag
詳細
逆ボンド
英語表記:reverse bonding
詳細
逆光電子分光法
英語表記:IPES: Inverse Photoelectron Spectroscopy
詳細
逆浸透装置
RO装置
英語表記:reverse osmosis unit
詳細
逆浸透膜 RO膜
英語表記:reverse osmosis
membrane
詳細
逆流防止バルブ
英語表記:back flow free check
valve system
詳細
吸じん装置
英語表記:dust collector
詳細
吸湿性解析
英語表記:simulation of moisture absorption
詳細
吸収体エッチング
英語表記:absorber pattern etching
詳細
吸着/非吸着 バキューム/ノンバキューム
英語表記:vacuum/nonvacuum
詳細
吸着ステージ
英語表記:vacuum chuck stage
詳細
吸着プレート
英語表記:vacuum plate
詳細
吸着材式排ガス処理装置
英語表記:exhaust gas
abatement
equipment by
absorption process
詳細
吸着式ドライヤ
英語表記:absorption dryer
詳細
許容発熱負荷
英語表記:allowed heat load
詳細
共晶ボンディング
英語表記:eutectic bonding
詳細
強誘電体材料
英語表記:ferroelectric material
詳細
強誘電体薄膜
英語表記:ferroelectric thin film
詳細
強誘電体膜
英語表記:ferroelectric film
詳細
鏡面ウエーハ 表面検査装置表面LSM検査装置
英語表記:laser surface scanner light scattering measurement system
詳細
鏡面面取り装置
英語表記:edge polisher
詳細
凝集ろ過装置 マイクロフロックろ過器
英語表記:filtration equipment
with coagulation
詳細
凝集剤注入装置
英語表記:coagulants feeding
equipment
詳細
凝集沈でん装置
英語表記:coagulo
sedimentation tank
coagulo-settling
tank
詳細
凝集反応槽 混和槽
英語表記:rapid mixing
chamber
詳細
局所電界効果
英語表記:local electric field
effect
詳細
曲げ試験
英語表記:bending test
詳細
極低マンガン SUS 316 L
英語表記:Mn fume free
SUS 316 L
詳細
極微細描画
英語表記:ultra fine patterning
詳細
均熱長
英語表記:flat zone length
詳細
禁制帯幅のエネルギー
英語表記:bandgap energy
詳細
緊急ガス遮断装置
英語表記:emergency gas shut
off system
詳細
緊急シャワー
英語表記:emergency water
shower
詳細
緊急遮断弁
英語表記:emergency stop
valve
詳細
緊急排気設備
英語表記:emergency exhaust
system
詳細
緊急用排ガス処理装置
英語表記:exhaust gas
abatement
equipment for
emergency
詳細
近接ギャップ
英語表記:proximity gap
詳細
近接効果
英語表記:proximity effect
詳細
近接効果
英語表記:proximity effect
詳細
近接効果補正
英語表記:OpticalProximity Correction : OPC
詳細
近接転写
英語表記: proximity printing
詳細
近接露光
英語表記:Proximity Exposure
詳細
近接露光方式
英語表記:proxmuty exposure method
詳細
金および白金拡散
英語表記:diffusion of Au and Pt
詳細
金型クリーニング
英語表記:mold cleaning
詳細
金型温度
英語表記:mold temperature
詳細
金属シリコン:MG-Si
英語表記:Metallurgical Grade-Silicon
詳細
金属汚染
英語表記:metal
contamination
詳細
金属汚染
英語表記:metalic contamination
詳細
金属系基板
英語表記:metal substrate
詳細
金属埋め込み(プラグ)
英語表記:plug formation
詳細
空間フィルタ
英語表記:spatial filtering
詳細
空間周波数
英語表記:spatial frequency
詳細
空間周波数変調型位相シフト露光法
英語表記:alternating phase-shift mask
詳細
空間電荷層
英語表記:space charge region
詳細
空気呼吸器
英語表記:self contained
breathing apparatus
詳細
空気調和設備
英語表記:air conditioning
system
詳細
空孔濃度
英語表記:vacancy
concentration
詳細
群遅延時間測定
英語表記:group delay
measurement
詳細
珪藻土ろ過器 プレコートろ過器
英語表記:diatomite filter
pre-coat filter
詳細
型締力
英語表記:mold clamping force
詳細
型内圧力
英語表記:internal die pressure
詳細
形状
英語表記:etched feature
詳細
形状異常
英語表記:profile irregularities
詳細
携帯電話用LSI
英語表記:ISI for Mobile Telephone Terminal
詳細
経時絶縁破壊 TDDB
英語表記:TDDB: Time Dependent Dielectric Breakdown
詳細
蛍光X線膜厚計
英語表記:X-ray fluorescence
coating
thickness
gauge
詳細
蛍光X線分光分析法 XRFS
英語表記:X-ray fluorescence spectroscopy
詳細
軽元素不純物
英語表記:light element
impurity
詳細
軽装ラック
英語表記:instrumentation
詳細
欠陥
英語表記:grown-in defect
詳細
欠陥・不純物
英語表記:defect / impurity
詳細
結晶、単結晶
英語表記:crystal,single crystal
詳細
結晶のねじれ
英語表記:twist of crystal
詳細
結晶引上機構
英語表記:crystal lift mechanism
詳細
結晶格子
英語表記:crystal lattice
詳細
結晶強度
英語表記:strength of crystal
詳細
結晶系
英語表記:crystal system
詳細
結晶欠陥
英語表記:crystal defect
詳細
結晶構造
英語表記:crystal structure
詳細
結晶軸
英語表記:crystallographic
詳細
結晶性
英語表記:crystalline characteristics
詳細
結晶配向性
英語表記:crystal orientation
詳細
結晶方位
英語表記:crystal orientation
詳細
結晶面
英語表記:crystal surface
詳細
結晶粒界
英語表記:grain boundary
詳細
検出器
英語表記: height detector
詳細
研削といし
英語表記:grinding wheel
詳細
研削ウエーハ厚さ
英語表記:actual wafer thickness
詳細
研削液
英語表記:grinding water
詳細
研削盤
英語表記:grinding machine
詳細
研削盤
英語表記:grinding machine
詳細
研削油剤装置
英語表記:coolant apparatus
詳細
研磨・クリーニング
英語表記:lapping, polishing and cleaning
詳細
研磨レート
英語表記:removal rate
詳細
研磨圧力
英語表記:polishing pressure
詳細
研磨温度
英語表記:polishing temperature
詳細
研磨材混合ホーニング装置
英語表記:wet powder honing
machine
詳細
研磨紙
英語表記:abrasive paper
sandpaper
詳細
研磨時間
英語表記:polishing time
詳細
研磨終点信号
英語表記:end point signal of polishing
詳細
研磨条件
英語表記:polishing condition
詳細
研磨性能
英語表記:polishing performance
詳細
研磨精度
英語表記:polishing accuracy
詳細
研磨定盤
英語表記:turn table platen
詳細
研磨抵抗
英語表記:polishing resistance
詳細
研磨特性
英語表記:polishing characteristics
詳細
研磨廃水処理装置
英語表記:grinding waste
water treatment
equipment
詳細
研磨布
英語表記:polishing cloth cloth pad buff
詳細
研磨布
英語表記:polishing pad
詳細
研磨布の圧縮率
英語表記:compressibility of polishing pad
詳細
研磨布の溝加工
英語表記:grooving of polishing pad
詳細
研磨布の硬度
英語表記:hardness of polishing pad
詳細
研磨布の粘弾性
英語表記:viscoelasticity of polishing pad
詳細
研磨布の物性
英語表記:properties of polishing pad
詳細
研磨布材
英語表記:polishing cloth material
詳細
研磨布寿命
英語表記:polishing pad life
詳細
研磨布密度
英語表記:apparent density of polishing pad
詳細
研磨量
英語表記:stock removal
詳細
顕微ラマン散乱分光法
英語表記:microscopic Raman spectroscopy
詳細
原子・励起分子ビーム照射法
英語表記:atom・excited molecule beam etching
詳細
原子間力顕微鏡 AFM
英語表記:atomic force microscope
詳細
原子間力顕微鏡(AFM)
英語表記:AFM: Atomic Force Microscope
詳細
原子吸光分光法
英語表記:AAS: Atomic Absorption Spectroscopy
詳細
原子吸光法
英語表記:atomic absorption analysis
詳細
原子層エピタキシャル成長装置 ALE装置
英語表記:atomic layer epitaxial growth system
詳細
原水調整槽
英語表記:waste water equalizing tank
詳細
減圧CVD装置 低圧CVD装置
英語表記:low pressure CVD system
詳細
減圧エッチング
英語表記:vacuum etching
詳細
減圧機構
英語表記:reduced pressure controller
詳細
減圧気相エピタキシャル成長装置 低圧気相エピタキシャル成長装置
英語表記:reduced pressure vapor phase epitaxial growth system low pressure vapor phase epitaxial growth system
詳細
減圧成形
英語表記:vacuum molding
詳細
減圧脱気液浸浸漬式エッチング装置
英語表記:evacuated wet etching system
詳細
減圧排気システム
英語表記:exhaust system
詳細
減速モード ディセルモード
英語表記:deceleration mode
decel mode
詳細
現像レート
英語表記:developing rate
詳細
現像液温度調整
英語表記:developer temperature control
詳細
現像均一性
英語表記:CD utiiformity
詳細
現像均一性
英語表記:developing uniformity
CD uniformity
詳細
現像欠陥
英語表記:post-develop defect
詳細
現像前べーク
英語表記:post exposure bake
詳細
現像前べーク
英語表記:Post Exposure Bake
詳細
限外ろ過
英語表記:ultrafiltration
詳細
限外ろ過装置 UF装置
英語表記:ultrafiltration
equipment
詳細
個別半導体テストシステム ディスクりートテスタ
英語表記:discrete component
test system
discrete tester
詳細
固液界面
英語表記:interface between solid and melt
詳細
固化率
英語表記:solidification ratio
詳細
固相エピタキシャル成長装置
英語表記:solid phase epitaxial growth system
詳細
固相拡散
英語表記:solid-phase diffusion
詳細
固相拡散
英語表記:solid-phase diffusion
詳細
固相拡散法
英語表記:solid phase diffusion method
詳細
固体ソースMBE
英語表記:solid source MBE
詳細
固体蒸発源
英語表記:solid vaporizer
詳細
固定と粒
英語表記:bonded abrasive
詳細
固定電荷密度
英語表記:fixed charge density
詳細
固定砥粒
英語表記:fixed abrasive
詳細
固定砥粒パッド
英語表記:fixed abrasive pad
詳細
固定砥粒研磨
英語表記:fixed abrasive polishing
詳細
固溶度
英語表記:solid solubility
詳細
故障モード
英語表記:failure mode
詳細
故障モデル・法則
英語表記:failure model and law
詳細
故障解析
英語表記:failure analysis
詳細
故障解析手順
英語表記:failure analysis procedure
詳細
後処理室
英語表記:post-treatment chamber
詳細
後洗浄
英語表記:post-cleaning process
詳細
後段加速方式
英語表記:post acceleration
詳細
後腐食
英語表記:after corrosnon
詳細
誤作動防止バルブ制御システム
英語表記:error operation free
valve control
system
詳細
交互測定機能 シリアル測定機能
英語表記:multiplex test
function
sirial test function
詳細
光CVD装置
英語表記:photo assisted CVD system
詳細
光パラメトリック効果
英語表記:optical parametric effect
詳細
光ブリーチ効果
英語表記:photo bleaching
詳細
光リソグラフィ
英語表記:photolithography
詳細
光化学洗浄装置 UVオゾン洗浄装置 紫外線オゾン洗浄装置
英語表記:photo-chemical cleaning equipment
詳細
光学式表面粗さ測定
英語表記:optical surface roughness measurement
詳細
光学的特性
英語表記:optical properties
詳細
光干渉型膜厚測定法
英語表記:PITM: Photo Interferometry for Film Thickness Measurement
詳細
光干渉膜厚測定
英語表記:interferrometry thickness measurement
詳細
光気相エピタキシャル成長装置
英語表記:photo assisted vapor phase epitaxial growth system
詳細
光起電力効果
英語表記:photo-voltaic effect
詳細
光起電力効果
英語表記:photo voltaic effect
詳細
光輝焼鈍管 BA管
英語表記:bright annealing
pipe
詳細
光近接効果
英語表記:optical proximity effect
詳細
光散乱トモグラフ法
英語表記:light scattering tomography
詳細
光散乱法
英語表記:light scattering
詳細
光散乱法
英語表記:light scattering method
詳細
光像法
英語表記:light figure method
詳細
光弾性応力解析法
英語表記:photoelastic stress-strain analysis method
詳細
光電効果
英語表記:photo-electric effect
詳細
光電子回折
英語表記:PED: Photoelectron Diffraction
詳細
光電子広がり
英語表記:photoelectron scattering, range
詳細
光電子分光法
英語表記:PES: Photoelectron Spectroscopy
詳細
光電子放出効果
英語表記:photo-emission effect
詳細
光電導効果
英語表記:photo-conductive effect
詳細
光電方式
英語表記:photoelectric sensing method
詳細
光電流法 P(H)C
英語表記:photo current method
詳細
光導電効果
英語表記:photo-conductive effect
詳細
光誘起電流 光励起電流 OBIC
英語表記:optical beam
induced current
詳細
光容量法
英語表記:photo capacitance method
詳細
光励起
英語表記:photo-excited cleaning
詳細
光励起アッシング装置
英語表記:photo excited ashing system
詳細
光励起エッチング装置
英語表記:photo excited
etching system
詳細
光励起発光法 PL
英語表記:photo luminescence method
詳細
光露光プロセス
英語表記:photolithography process
詳細
光露光装置
英語表記:optical aligner
詳細
厚さむら 5点厚さむら
英語表記:thickness variation five points thickness
詳細
向流再生式イオン交換装置
英語表記:counterflow
regeneration
ion exchange
equipment
詳細
孔明きパネル
英語表記:perforated panel
詳細
工ステルイ化率
英語表記:ester rate
詳細
工ッチング耐性
英語表記:dry etch resistance
詳細
工ッチング反応機構
英語表記:etching reaction mechanisms
詳細
工作主軸
英語表記:work spindle
詳細
工作主軸台
英語表記:work head
spindle head
head stock
詳細
工程間搬送
英語表記:interbay
transportation
詳細
工程情報(管理)
英語表記: process information
詳細
工程内搬送
英語表記:intrabay
transportation
詳細
広がり抵抗測定法
英語表記:spread resistance
measurement
method
詳細
広がり抵抗法 SR
英語表記:spreading resistance method
詳細
広域X線吸収微細構造(EXAFS)
英語表記:Extended X-ray Absorption Fine Structure(EXAFS)
詳細
抗折強度
英語表記:die strength
詳細
構造敏感
英語表記:structure sensitivity
詳細
降伏応力
英語表記:yield stress
詳細
降伏現象
英語表記:breakdown phenomenon
詳細
高さセンサ
英語表記:height sensor
詳細
高アスペクト比形状
英語表記:high aspect ratio profile
詳細
高エネルギーイオン注入装置 高電圧イオン注入装置
英語表記:high energy ion implanter
high voltage ion implanter
詳細
高スループット化
英語表記:high throughput
詳細
高圧ジェット洗浄
英語表記:high pressure water jet cleaning
詳細
高圧電子顕微鏡法 HVEM
英語表記:high voltage electron microscopy
詳細
高圧熱酸化装置
英語表記:high pressure hermal oxidation furnace
詳細
高圧噴射洗浄装置 高圧ジェットスプレー
英語表記:high pressure jet
spray
詳細
高温リフロー スパッタリング
英語表記:high temperature reflow sputtering
詳細
高温薬液循環ろ過装置
英語表記:hot acid circulation and filtration system
詳細
高音測定機能
英語表記:hot testing function
詳細
高周波
プラズマCVD装置
英語表記:RF plasma enhanced CVD system
詳細
高周波スパッタリング
英語表記:high frequency sputtering
詳細
高周波パッケージ
英語表記:high frequency package
詳細
高周波ボンディング
英語表記:high frequency
ultrasonic bonding
詳細
高周波マッチングシステム
英語表記:high frequency matching system
詳細
高周波ワークコイル
英語表記:radio frequency workcoil
詳細
高周波信号測定機能
英語表記:radio frequency
mesurement
詳細
高周波増幅器
英語表記:high frequency amplifier
詳細
高周波電極 陰極
英語表記:RF electrode
詳細
高周波電源
英語表記:high frequency power supply
詳細
高周波電源
英語表記:RF generator
詳細
高周波電源モニタ
英語表記:high frequency power supply monitor
詳細
高周波特性
英語表記:high frequency characteristic
詳細
高周波放電
英語表記:high frequency discharge
詳細
高周波誘導加熱真空蒸着装置
英語表記:induction heating evaporation system
詳細
高周波誘導加熱法
英語表記:radio frequency heating method
詳細
高性能多層配線
英語表記:high performance multi-level interconnections
詳細
高速アッシング
英語表記:high rate ashing
詳細
高速ナロービード溶接
英語表記:high speed one pass
welding
詳細
高速化
英語表記:throughput increase
詳細
高速昇降温炉
英語表記:fast thermal process system
詳細
高速成膜
英語表記:high deposition rate
詳細
高速反射電子線回折法
英語表記:Reflection High Energy Electron Diffraction: RHEED
詳細
高低音測定機能
英語表記:hot and cold testing
function
詳細
高電圧ターミナル
英語表記:high voltage terminal
詳細
高電圧露光
英語表記:high acceleration voltage
exposure
詳細
高不純物濃度化
英語表記:high impurity concentration
詳細
高風速バーンイン装置
英語表記:high wind velocity
burn-in system
詳細
高密度プラズマCVD
英語表記:high density plasma enhanced CVD
詳細
高密度プラズマCVD
英語表記:HDP CVD : High Density Plasma CVD
詳細
高密度プラズマエッチング
英語表記:High Density Plasma Etching
詳細
高誘電率膜
英語表記:high dielectrics constant film
詳細
合わせ精度
英語表記:overlay accuracy
詳細
合成高分子製逆浸透膜 複合膜
英語表記:synthetic polymer
composite reverse
osmosis membrane
thinfilm composite
membrane
詳細
黒鉛炉原子吸光分析計 フレームレス原子吸光分析計
英語表記:graphite furnace
atomic absorption
spectrometer
詳細
混床式イオン交換塔
英語表記:mixed bed column
詳細
混床式ポリッシャ
英語表記:mixed bed polisher
詳細
混晶半導体
英語表記:alloy semiconductor
詳細
混変調測定
英語表記:intermodulation
measurement
詳細
混流型クリーンルーム
英語表記:miXed flow clean
room
詳細
左回転 CCW
英語表記:counter clock wise
詳細
差圧ダンパ
英語表記:pressure setting
damper
詳細
差圧検出器
英語表記:differential pressure
sensor
詳細
差動トランス終点検出法
英語表記:liner variable diferential tranceducer end point detection
詳細
差動増幅器
英語表記:Differential amplifier
詳細
砂ろ過器 急速ろ過機
英語表記:sand filter
rapid sand filter
詳細
再結合ライフタイム
英語表記:recombination lifetime
詳細
再現性
英語表記:repeatability
詳細
再生ウェーハ
英語表記:reclain wafer
詳細
再生レベル
英語表記:regeneration level
詳細
再生廃水中和装置
英語表記:regeneration waste
water neutralizing
equipment
詳細
最小パルス幅
英語表記:minimum pulse
width
詳細
最小検出パルス幅
英語表記:minimum detectable
pulse width
詳細
最大チャージ量
英語表記:Charge size crucible capacity
詳細
最大粒子
英語表記:maximum grain size
詳細
最尤法
英語表記:maximum likelihood method
詳細
細線
英語表記:thin wire
fine wire
詳細
皿状ピット
英語表記:shallow pit saucer
詳細
三重ウェル
英語表記:triple well
詳細
三値ドライブ
英語表記:three level drive
詳細
散乱電子
英語表記:scattering electron
詳細
酸化・拡散/不純物ドーピング技術
英語表記:oxidation・ diffusion/impurity dopin g technologies
詳細
酸化マンガンスラリー
英語表記:manganese oxideslurry
詳細
酸化還元装置
英語表記:oxidation reduction
equipment
詳細
酸化還元電位
英語表記:oxidation reduction potential
詳細
酸化還元電位計
英語表記:oxidation reduction
potential(ORP)
詳細
酸化種
英語表記:oxidation seed
詳細
酸化増速、減速拡散
英語表記:oxidation-enhanced、retarded diffusion
詳細
酸化物半導体
英語表記:oxide semiconductor
詳細
酸拡散
英語表記:acid diffusion
詳細
酸素ドナー
英語表記:oxygen donor
詳細
酸素プラズマ耐性
英語表記:02 plasma resistance
詳細
酸素析出
英語表記:precipitation of oxygen
詳細
酸素析出
英語表記:oxygen
precipitation
詳細
酸素析出物
英語表記:oxygen precipitate
詳細
酸素析出物
英語表記:oxide precipitate
詳細
酸素濃度モニタ
英語表記:oxygen concentration monitor
詳細
酸窒化酸化 N₂O酸窒化
英語表記:oxynitridation in N₂O(NO)
詳細
残存空気
英語表記:residual air
詳細
残留オゾン処理装置
英語表記:ozone gas processor
詳細
残留塩素計
英語表記:residual chlorine
meter
詳細
残留溶媒量
英語表記:remanung solovent
詳細
残留粒子数
英語表記:numbers of unremovable particle
詳細
仕事関数
英語表記:work function
詳細
仕上研磨 ファイナル スーパーファイナル
英語表記:final polishing final super final
詳細
四探針法
英語表記:four point probe method
詳細
指数分布
英語表記:exponential distribution
詳細
紫外線殺菌器 UV殺菌器
英語表記:ultraviolet sterilizer
詳細
紫外線酸化装置
英語表記:ultraviolet-ray
oxidation
equipment
詳細
試験周波数 動作周波数
英語表記:test frequency
operating frequency
詳細
磁気カップリング 回転導入
英語表記:magnetic coupled feedthrough
詳細
磁気中性線放電
英語表記:magnetic neutral loop discharge
詳細
磁気抵抗
英語表記:magnetoresistance
詳細
磁気浮上搬送
英語表記:magnetic levitation transfer
詳細
磁気浮上搬送
英語表記:magnetic levitation
transfer
詳細
磁場
英語表記:magnetic field
詳細
磁場スキャン
英語表記:magnetic scan
詳細
磁場測定器
英語表記:magnetic field
measuring
instrument
詳細
磁場中引上法 磁場印加引上法 MCZ法
英語表記:magnetic field applied Czochralski crystal growth method
詳細
磁性半導体
英語表記:magnetic semiconductor
詳細
自家発電設備
英語表記:non-utility
generation facility
詳細
自己スパッタリング
英語表記:self sputtering
詳細
自己バイアス電圧
英語表記:self-bias voltage
詳細
自己診断機能 ダイアグ
英語表記:self diagnostic
function
詳細
自己整合コンタクト
英語表記:SAC : Self-Aligned Contact
詳細
自己整合技術
英語表記:self-alignment technology
詳細
自己平坦膜
英語表記:self planarization film
詳細
自公転治具 プラネタリ治具
英語表記:rotary and revolutionary
詳細
自然酸化除去
英語表記:native oxide removal
詳細
自然酸化膜
英語表記:native oxide
詳細
自然酸化膜
英語表記:native oxide
詳細
自然放出
英語表記:spontaneous emission
詳細
自走ロボット
英語表記:automatic guided
vehicle with robot
詳細
自動圧力制御
英語表記:automatic pressure control
詳細
自動圧力制御
英語表記:automatic pressure control
詳細
自動温度制御システム
英語表記:automatic temperature control system
詳細
自動化
英語表記:automatically calibration
詳細
自動継手締め付け工具
英語表記:automatic joint
fastening tool
詳細
自動欠陥レビュー/分類 ADR/ADC
英語表記:auto defect review/ classification
詳細
自動公転機能
英語表記:spin and rotation
詳細
自動消火装置
英語表記:automatic fire extinguisher
詳細
自動焦点合わせ
英語表記: auto focusmg
詳細
自動直径制御システム
英語表記:automatic diameter control system
詳細
自動定寸装置
英語表記:automatic sizing device
詳細
自動定寸装置
英語表記:adaptive controller
automatic thickness controller
詳細
自動貼合わせ機能 PTPA
英語表記:automatic probe to
pad alignment
function
probe to pad
alignment
詳細
自動排熱機構 オートダンバ
英語表記:automatic exhaust
air mechanism
詳細
自動搬送システム AMHS
英語表記:automatic
transportation
system
automated material
handling system
詳細
自発反応
英語表記:spontaneous reaction
詳細
自由キャリア吸収
英語表記:free carrier absorption
詳細
湿式排ガス処理装置
英語表記:wet type exhaust
gas abatement
equipment
詳細
質量分析器
英語表記:Mass Analyzer
詳細
質量分析計
英語表記:mass analyzing system
詳細
質量分析能力
英語表記:mass analyzing capability
詳細
実効インダクタンス
英語表記:effective inductance
詳細
実装プロセス
英語表記:mount process, mounting process
詳細
実装技術
英語表記:packaging technology
詳細
実装信頼性
英語表記:reliability of assembly
詳細
実装装置
英語表記:mounting equipment
詳細
斜光照明
英語表記:oblique lighting
詳細
斜入射干渉計方式
英語表記:grading incidence interferometer method
詳細
斜入射照明
英語表記:off—axis illumination
詳細
遮光帯
英語表記:shielding light band
詳細
主放電
英語表記:main discharge
詳細
手押し台車 PGV MGV
英語表記:pushcart
personnel guided
manual guided
veicleh
詳細
種子結晶
英語表記:seed crystal
詳細
種棒切断 種子切断
英語表記:seed cut
詳細
受変電設備
英語表記:electrical
transmission and
distribution
systems
詳細
寿命データ解析
英語表記:life data analysis
詳細
寿命分布
英語表記:lifetime distribution
詳細
樹脂封止
英語表記:resin encapsulation
詳細
樹脂流動解析
英語表記:flow simulation of molding compound
詳細
収束イオンビーム法 FIB
英語表記:focused ion beam
詳細
周辺部除外領域
英語表記:edge exclusion
詳細
周辺露光 ウェーハ周辺露光 選択露光
英語表記:optical edge bead remover
詳細
周辺露光装置
英語表記:wafer edge eXPOSUre
詳細
修正キャリヤ
英語表記:dressing carrier
conditioning carrier
詳細
終点検出
英語表記:endpoint detector
詳細
終点検出
英語表記:end point detection
詳細
終点検出
英語表記:end point momtoring
詳細
終点検知
英語表記:end point detection
詳細
集積ガスユニット
英語表記:integrated gas unit
詳細
集積化部品
英語表記:integrated
components
詳細
集束イオンビーム
英語表記:FIB : Focused Ion Beam
詳細
集束イオンビーム(FIB)法
英語表記:Focused Ion Beam
詳細
集束イオンビーム露光
英語表記:focused ion-beam exposure
詳細
集中監視盤 中央監視制御
英語表記:central monitoring
and control system
詳細
縦型ステッパ
英語表記:vertical stepper
詳細
縦型ブリッジマン法
英語表記:ertical Bridgman method
詳細
縦型減圧CVD装置
英語表記:vertical low pressure CVD system
詳細
縦型実装パッケージ
英語表記:vertical mount package
詳細
縦型装置
英語表記:vertical type reactor
system
詳細
重ね合せ精度 レジストレーション精度
英語表記:overlay accuracy
詳細
重ね合わせ精度
英語表記:overlay accuracy
詳細
重金属汚染
英語表記:heavy metal contamination
詳細
重金属汚染
英語表記:pollution of heavy metal
詳細
重金属廃水処理装置
英語表記:heavy metal waste
water treatment
equipment
詳細
重金属不純物
英語表記:heavy metal impurity
詳細
重力式ろ過器
英語表記:gravity filter
詳細
縮小レンズ光学系
英語表記:reduced lens-optical system
詳細
縮小転写イオン光学系
英語表記:ion optics for projection 10n beam lithography
詳細
縮小倍率
英語表記:reduction ratio
詳細
縮小露光
英語表記:reduction exposure, El-TV lithography
詳細
縮小露光系
英語表記:image reduction system
詳細
出力パターンセンス法
英語表記:sensitizing pattern
generation method
詳細
循環ろ過システム
英語表記:recirculation filtration system
詳細
循環ろ過式洗浄槽
英語表記:bath with filter for circulating solution
詳細
準水系洗浄装置
英語表記:semi-aqueous cleaning equipment, washing equipment
詳細
純水タンク
英語表記:pure water storage
tank
詳細
純水リンス
英語表記:pure water rinsmg
詳細
純水冷却
英語表記:deionized water cooling
詳細
書き込みエラー 消去エラー
英語表記:write error
erase error
詳細
書き込みフェイル 消去フェイル
英語表記:write fail
erase fail
詳細
書き込み許容エラー 回数 消去許容エラー
英語表記:write error
allowance
erase error
allowance
詳細
徐冷ゾーン
英語表記:cooling zone
詳細
除鉄・除マンガン装置
英語表記:deiron demanganese
equipment
詳細
除電装置
英語表記:charge neutralizer
詳細
小型リング
英語表記:compact ring
詳細
少量危険物貯蔵庫
英語表記:store room for small
quantity dangerous
詳細
昇温脱離ガス分析法
英語表記:TDGA: Thermal Desorption Gas Analysis
詳細
昇降温プロファイル
英語表記:temperature up and down profile
詳細
焼却装置
英語表記:incineration
equipment
詳細
焦点・非点補正
英語表記:focus・astigmatism revision
詳細
焦点深度 DOF
英語表記:depth of focus
D.O.F
詳細
照射損傷
英語表記:irradiation damage
詳細
照射量補正
英語表記:dose modulation correction method
詳細
照度むら
英語表記:illumination uniformity
詳細
照明系
英語表記:illumination system
詳細
照明条件差
英語表記:effects of difference of illumination conditions
詳細
省エネルギー設備
英語表記:energy saving
system
詳細
省レジスト技術
英語表記:reduced resist consumption
詳細
衝突・無衝突減衰
英語表記:collision・nonーcollision attenuation
詳細
衝突周波数
英語表記:collision frequency
詳細
衝突断面積
英語表記:collision cross section
詳細
上向流ろ過器
英語表記:up-flow filter
詳細
上定盤
英語表記:upper lapping plate
詳細
上面/下面研削幅
英語表記:upper/ lower surface grinding width
詳細
常圧CVD装置
英語表記:atmospheric pressure CVD system
詳細
常圧気相エピタキシャル成長装置
英語表記:atmospheric pressure vapor phase epitaxial growth system
詳細
常圧熱酸化装置
英語表記:atmospheric thermal oxidation furnace
詳細
蒸気乾燥装置
英語表記:vapor drying equipment
詳細
蒸着法
英語表記:evaporation method for bump formation
詳細
蒸発材料
英語表記:evaporation material
詳細
蒸発残さ計
英語表記:non-volatile residue
analyzer
詳細
蒸発濃縮装置
英語表記:evaporator
詳細
色差分離処理
英語表記:YC separate
詳細
色再現性試験
英語表記:color linearity
詳細
触針式表面粗さ測定
英語表記:stylus surface roughness measurement
詳細
触媒湿式酸化処理装置
英語表記:catalyzed wet
oxidation equipment
詳細
触媒樹脂脱酸素装置
英語表記:oxyducgen reduction
equipment with
catalytic resin
詳細
信号処理演算回路
英語表記:Signal processing operating circuit
詳細
信頼性管理
英語表記:reliability management
詳細
信頼性試験
英語表記:Reliability test
詳細
信頼性試験
英語表記:reliability test
詳細
信頼性設計と予測
英語表記:reliability design and prediction
詳細
振動ピックアップ
英語表記:vibration pickup
詳細
振動レベル計
英語表記:vibration level meter
詳細
振動解析終点検出法
英語表記:end point detection by vibration analysis
詳細
振動周波数分析器
英語表記:vibration frequency
analyzer
詳細
振動励起
英語表記:vibrational excitation
詳細
新線送り量
英語表記:length of fresh wire feeding
詳細
浸漬式エッチング装置
英語表記:immersion wet
etching system
詳細
深い不純物準位
英語表記:deep level
詳細
深冷空気分離装置
英語表記:cryogenic air separator
詳細
真空ロボット
英語表記:robot for using in vacuum
詳細
真空乾燥装置
英語表記:vacuum drying equipment
詳細
真空紫外光吸収分光
英語表記:vacuum ultra violet absorption spectroscopy
詳細
真空紫外光電子分光法
英語表記:UPS: Ultraviolet Photoelectron Spectroscopy
詳細
真空紫外線照射除電装置
英語表記:deep ultraviolet charge neutralizer
詳細
真空紫外発光分析
英語表記:vacuum ultra Violet emission
spectroscopy
詳細
真空蒸着装置
英語表記:vacuum evaporation
詳細
真空掃除システム セントラルバキュームクリーニング
英語表記:central vacuum cleaning system
詳細
真空脱気塔
英語表記:vacuum degagifier
詳細
真空法
英語表記:high vacuum method
詳細
真性半導体
英語表記:intrinsic semiconductor
詳細
真性半導体
英語表記:intrinslC semiconductor
詳細
真性半導体
英語表記:intrinsic semiconductor
詳細
親水性
英語表記:hydrophilicity
詳細
親水性表面
英語表記:hydrophilic surface
詳細
進捗管理システム
英語表記:work in process
tracking system
詳細
酢酸セルローズ製逆浸透膜 CA膜
英語表記:cellulose acetate
reverse osmosis
membrance
詳細
図形演算処理
英語表記:pattern operation
詳細
垂直プロービングカード
英語表記:vertical probing card
詳細
垂直立上げ
英語表記:swift start-up system
詳細
水圧ホーニング装置
英語表記:water jet horning
machine
詳細
水系洗浄装置
英語表記:aqueous cleaning equipment
詳細
水素ターミネーション 水素終端化
英語表記:hydrogen termination
詳細
水素プラズマ曝露
英語表記:hydrogen plasma exposure
詳細
水分圧
英語表記:H2O partial pressure
詳細
水平ブリッジマン法 温度傾斜法
英語表記:horizontal Bridgman
詳細
水平ローダ
英語表記:horizontal loader
詳細
寸法シフト
英語表記:measure shift
詳細
寸法シフト 寸法変換量 CDロス
英語表記:pattern shift
critical dimension
loss
詳細
寸法ドリフト
英語表記:pattern size drift
詳細
寸法測定
英語表記:dimension measurement
詳細
制御空気源装置
英語表記:control-air source
equipment
詳細
成形タイム
英語表記:molding time
詳細
成形金型 マルチブランジャ金型 コンベンショナル金型
英語表記:molding die
multiple plunger
molding die
conventional
molding die
詳細
成長の異方性
英語表記:anisotropy of growth
詳細
成長結晶最大直径
英語表記:growing crystal diameter
詳細
成長縞
英語表記:growth striation
詳細
成膜均一性
英語表記:process uniformity
詳細
整流特性
英語表記:rectifying characteristic
詳細
正・負イオン交互照射
英語表記:positive/negative Ions
詳細
清浄度 清浄度レベル
英語表記:cleanliness
cleanliness level
詳細
清浄度クラス
英語表記:cleanliness class
詳細
清浄度回復能
英語表記:cleanliness recovery
characteristic
clean down
capability
詳細
清浄度管理
英語表記:cleanliness control
詳細
生菌数 細菌数
英語表記:number of micro
organisms
number of bacteria
詳細
生産スケジュール
英語表記:production cchedule
詳細
生産管理
英語表記:production management
詳細
生産管理システム
英語表記:production
management
system
詳細
生産方式
英語表記:manufacturing method
詳細
生産用真空設備
英語表記:process vacuum
system
詳細
生物脱窒素装置
英語表記:biological nitrogen
removal equipment
詳細
精製速度
英語表記:refining speed
詳細
精密ろ過膜 メンブレンフィルタ MF
英語表記:micro filter
membrane filter
詳細
製造・加工装置
英語表記:packaging equipment
詳細
製品安全データシート MSDS
英語表記:material safety data
sheet
詳細
静圧軸受
英語表記:static pressure bearing
詳細
静電スキャン
英語表記:electrostatic scan
詳細
静電ダメージ
英語表記:electrostatic damage
詳細
静電チャック
英語表記:electrostatic chuck
詳細
静電チャック
英語表記:electrostatic clampless holder
詳細
静電チャックサセプタ
英語表記:static electric chuck sucepter
詳細
静電気テスタ 静電気チェッカ
英語表記:static electricity tester
詳細
静電靴
英語表記:static-dissipative
shoes
詳細
静電除去機
英語表記:static eliminator
詳細
静電電位計
英語表記:electrostatic
potentiometer
詳細
静電容量方式
英語表記:capacitive sensing method
詳細
脆性モード研削法
英語表記:ductile mode grinding
詳細
析出酸素量
英語表記:amount of oxygen precipitation
詳細
石英るつぼ
英語表記:quartz crucible
詳細
石英管洗浄装置
英語表記:quartz tube cleaning equipment
詳細
積算形電気移動度分析器 EAA
英語表記:integral mobility
analyzer
electrical aerosol
analyzer
詳細
積層パッド
英語表記:stacked pad
詳細
積層欠陥
英語表記:stacking fault
詳細
積層欠陥
英語表記:stacking fault
詳細
積分型A/D変換器
英語表記:integrating A/D converter
詳細
積和演算器
英語表記:multiply and accumulate arithmetic logic unit
詳細
赤外干渉法
英語表記:infrared interference method
詳細
赤外吸収
英語表記:infrared absorption
詳細
赤外吸収分光法 IR
英語表記:infrared absorption spectroscopy
詳細
赤外線アニール装置
英語表記:infrared annealer
詳細
赤外線トモグラフ IR-LST
英語表記:infrared laser scaltering tomograph
詳細
赤外線リフローはんだ付け(IRリフロー法)
英語表記:Infrared reflow soldering
詳細
赤外線リフロー装置
英語表記:infrared rays reflow equipment
詳細
赤外線干渉終点検出法
英語表記:end point detection by infrared interferomety
詳細
赤外線顕微鏡法
英語表記:infrared microscopy
詳細
赤外線集中加熱法
英語表記:infrared heating method
詳細
赤外全反射分光法
英語表記:attenuated total reflection
詳細
赤外分光法
英語表記:infrared spectroscopy
詳細
切屑分離装置
英語表記:coolant separator
詳細
切断機
英語表記:slicing machine
詳細
接合リーク特性
英語表記:junction leak characteristic
詳細
接触ばっ気装置
英語表記:biological contact
aeration equipment
詳細
接触角
英語表記:contact angle
詳細
接触子 コンタクト
英語表記:contactor
詳細
接続精度
英語表記:butting accuracy
詳細
接地設備
英語表記:ground earth
詳細
接地電極 陰極
英語表記:ground electrode
anode
詳細
接着SOIウェーハ 張り合わせSOIウェーハ
英語表記:bonding silicon on insulator wafer
詳細
設計アルゴリズム
英語表記:design algorithm
詳細
設備移動管理システム
英語表記:equipment utilization
management
system
詳細
絶縁破壊(TDDB)試験
英語表記:Time Dependent Dielectric Breakdown measurement
詳細
浅い接合
英語表記:shallow junction
詳細
浅い接合形成
英語表記:shallow junction
詳細
洗浄/前処理
英語表記:cleaning for contact/via hole
詳細
洗浄・乾燥装置
英語表記:cleaner and dryer
詳細
洗浄技術
英語表記:cleaning technology
詳細
洗浄装置
英語表記:cleaning system
詳細
洗浄装置
英語表記:cleaning equipment
詳細
洗浄装置
英語表記:cleaning equipment
詳細
洗浄装置
英語表記:cleaner, cleaning zone, cleaning booth
詳細
洗浄表面計測
英語表記:evaluation Of cleaned surface
詳細
洗浄評価
英語表記:cleaning evaluation
詳細
洗浄方式
英語表記:cleaning process
詳細
線欠陥試験
英語表記:line defect test
詳細
線接触型ポリシング装置
英語表記:linear contact polishing machine
詳細
線幅精度
英語表記:line width accuracy
詳細
選択CVDプロセス
英語表記:selective CVD process
詳細
選択W-CVD機構
英語表記:mechanism Of selective W-CVD
詳細
選択・非選択CVD
英語表記:selective CVD, blancket CVD
詳細
選択エッチング
英語表記:selective etching
詳細
選択エピタキシャル成長
英語表記:Selective Epitaxial Growth .SEG
詳細
選択エピ成長
英語表記:selective epitaxial growth
詳細
選択拡散
英語表記:selective diffusion
詳細
選択鏡面エッチング
英語表記:selective nurror surface etching
詳細
選択研磨
英語表記:selective polishing
詳細
選択研磨
英語表記:selective polishing
詳細
選択成長
英語表記:selective growth
詳細
選択破れ
英語表記:selective break
詳細
選択比
英語表記:Selectivity Etching Ratio
詳細
遷移層
英語表記:transition layer
詳細
遷移領域
英語表記:transition layer
詳細
前処理装置
英語表記:pretreatment
equipment
詳細
前洗浄
英語表記:pre-cleaning process
詳細
前段加速方式
英語表記:preacceleration
system
詳細
全自動引上システム
英語表記:fully autommatic growing system
詳細
全室温洗浄プロセス
英語表記:total room temperature wet cleaning process
詳細
全展開処理
英語表記:flat operations
詳細
全反射蛍光X線分析
英語表記:Total Reflection X-ray Fluorescence
詳細
全反射蛍光X線分析法 TXRF TRXRF
英語表記:total reflection X-ray fluorescence analysis
詳細
全面基準
表面基準
英語表記:front side reference
詳細
塑性変形
英語表記:plastic
deformation
詳細
疎水性
英語表記:hydrophobicity
詳細
疎水性表面
英語表記:hydrophobic surface
詳細
疎密形状差
英語表記:difference in profile between isolated and nested
詳細
疎密効果
英語表記:effects of pattern density
詳細
粗じん用エアフィルタ
英語表記:coarse particle air
filter
詳細
粗研
英語表記:coarse grinding
詳細
粗粒
英語表記:grain grits macro grits
詳細
素材移動ストローク
英語表記:material lift travel
詳細
素子分離用絶縁膜
英語表記:isolation dielectrics
詳細
組成制御
英語表記:control of composition
詳細
層間絶縁膜
英語表記:inter-layer dielectrics
詳細
層間絶縁膜
英語表記:ILD : Inter - Level Dielectric、
IMD : Inter-Metal Dielectric
詳細
層間絶縁膜形成方法と特性
英語表記:ILD deposition and ILD characteristics
詳細
捜査式投影露光装置
英語表記:scanning projection aligner
詳細
挿入型パッケージ
英語表記:through-hole mount type package, insertion type package
詳細
挿抜機 インサータリムーバ ローダアンローダ
英語表記:inserter remover
詳細
早送り速度
英語表記:fast traverse
詳細
槽間移送時間
英語表記:bath-to-bath transport time
詳細
相関二重サンプリング CDS
英語表記:correlated double
sampling
詳細
相互拡散
英語表記:interdiffusion
詳細
相対湿度
英語表記:relative humidity
詳細
相対速度
英語表記:relative velocity
詳細
総型方式面取り加工
英語表記:form chamfering
詳細
総合インデックススピード
英語表記:total index speed
詳細
総合タイミング精度 OTA
英語表記:overall timing
accuracy
詳細
総量規制
英語表記:total quantity
restriction
詳細
装置信頼性
英語表記:equipment reliability
詳細
装置整備性
英語表記:equipment
maintainability
詳細
装置有用性
英語表記:equipment
availability
詳細
走査ステップ式投影露光装置
英語表記:step-and-scan projection aligner
詳細
走査型オージェ電子顕微鏡 SAM
英語表記:scanning Auger electron microscope
詳細
走査型トンネル顕微鏡(STM)
英語表記:STM: Scanning Tunneling Microscope
詳細
走査型トンネル顕微鏡法 STM
英語表記:scanning tunneling microscopy
詳細
走査型プローブ顕微鏡 SPM
英語表記:SPM: Scanning Probe Microscope
詳細
走査型電子顕微鏡 SEM
英語表記:scanning electron microscope
詳細
走査型電子顕微鏡法
英語表記:scanning electron microscopy
詳細
走査透過型電子顕微鏡 STEM
英語表記:scanning transmission electron microscope
詳細
像面照度
英語表記:illumination intensity at image plane
詳細
増し張り
英語表記:blade retension
詳細
側壁スペーサ
英語表記:side-wall spacer
詳細
側壁保護膜
英語表記:sidewall passivation films
詳細
側壁保護膜
英語表記:Sidewall Protection Film
詳細
側壁保護膜
英語表記:sidewall
protection film
詳細
測長走査型電子顕微鏡法
英語表記:critical dimension scanning electron microscopy
詳細
速度オーバシュート
英語表記:velocity overshoot
詳細
多ビットメモリ
英語表記:Multiple Level Cell
詳細
多ビットメモリ 対応機能
英語表記:multi-bit memory
application
function
詳細
多極プラズマ スパッタリング装置
英語表記:thermoionic sputtring system
詳細
多極石英管型 プラズマCVD装置
英語表記:multi parallel plate electrode plasma enhanced CVD system
詳細
多結晶SiCVD
英語表記:polycrystalline SiCVD
詳細
多結晶シリコン
英語表記:polycrystalline silicon
詳細
多結晶シリコン
英語表記:polycrystalline silicon
詳細
多重ビーム露光
英語表記:multi-beam exposure
詳細
多重干渉効果
英語表記:effects Of multiple interference
詳細
多重干渉効果 定在波効果
英語表記:multiple interference
effect
swing curve effect
standing wave effect
詳細
多重描画
英語表記:multiphase printing
詳細
多層IVH基板
英語表記:multilayer Interstitial Via Hole printed board
詳細
多層レジストプロセス
英語表記:multi-layer resist process
詳細
多層レジスト法
英語表記:multilayer resist method
詳細
多層配線
英語表記:multi level interconnection
詳細
多層配線
英語表記:Multi-level Interconnection
詳細
多層配線化
英語表記:multi-level interconnection
詳細
多層膜プロセス
英語表記:multilayer process
詳細
多層膜ミラー
英語表記:multilayer mirror
詳細
多層膜ミラー光学系
英語表記:multilayer mirror optics
詳細
多槽浸漬式洗浄装置
英語表記:multibath wet cleaning equipment
詳細
多段アニール
英語表記:multi step annealing
詳細
多段熱処理
英語表記:multi steps annealing
詳細
多値化認識 2値化認識
英語表記:gray scale pattern
recognition
binary scale pattern
recognition
詳細
太線
英語表記:thick wire
heavy wire
詳細
太陽電池級多結晶シリコン:SOG-Si
英語表記:Solar Grade-Silicon
詳細
体積一定ルール
英語表記:volume constant rule
詳細
対向ターゲット型スパッタリング装置 FTS装置
英語表記:facing target sputtering system
詳細
対数正規グラフ 対数正規プロット
英語表記:log-normal graph
log-normal plot
詳細
対数正規確率紙
英語表記:lognormal probability paper
詳細
対数正規分布
英語表記:log-normal distribution
詳細
耐圧型インライン電気伝導度計
英語表記:in-line type electrical
conductivity meter
(with standing high
pressure)
詳細
耐震設備
英語表記:earthquake resisting
device
詳細
耐熱性
英語表記:thermal resistance
詳細
帯域溶融法 ゾーンメルティング法
英語表記:zone melting method
詳細
帯間光学間接遷移
英語表記:interband optical indirect transition
詳細
帯間光学直接遷移
英語表記:interband optical direct transition
詳細
帯電電位
英語表記:charged electric
potential
詳細
滞在時間効果
英語表記:effects of gas residence time
詳細
代替搬送
英語表記:alternative
transportation
詳細
代表図形法
英語表記:representative figure method
詳細
台形フォーマット
英語表記:algorithm based on trapezoid
詳細
大ビーム電流
英語表記:large beam current
詳細
大気圧イオン化質量分析計
英語表記:atmospheric press
pressure ionization
mass
詳細
大型液晶ディスプレイ用露光装置
英語表記:aligner for large liquid crystal display substractes
詳細
大直径化
英語表記:large wafer
diameter
詳細
大電流 イオン注入装置
英語表記:high current ion implanter
詳細
大面積化
英語表記:enlargement Of the exposure field
詳細
脱気モジュール
英語表記:degas module
詳細
脱水べーク
英語表記:dehydration bake
詳細
脱水ベーク デハイドレーションベーク
英語表記:dehydration bake
詳細
脱炭酸塔
英語表記:decarbonator
詳細
単結晶
英語表記:Single Crystal
詳細
単結晶成長機構
英語表記:mechanism of single crystal growth
詳細
炭化ケイ素(シリコンカーバイド)
英語表記:Silicon Carbide : SiC
詳細
短チャネル効果
英語表記:Short Channel Effect
詳細
短時間アニール
英語表記:Rapid Thermal Annealing
詳細
端面のそり
英語表記:ingot cutting face bow
詳細
弾道性伝導
英語表記:ballistic transport
詳細
断熱版
英語表記:heat insulation plate
詳細
断面均熱
英語表記:radial temperature uniformity
詳細
段差緩和性
英語表記:step height reduction
詳細
地球温暖化
英語表記:global warming
詳細
置換型拡散
英語表記:substitutional diffusion
詳細
逐次サンプリング法 連続サンプリング法
英語表記:secquential
sampling method
詳細
窒化ガリウム
英語表記:Gallium nitride
詳細
窒化ホウ素
英語表記:Boron Nitride : BN
詳細
窒化酸化膜
英語表記:nitrided oxide
詳細
窒素イオン注入
英語表記:N ion implantation
詳細
窒素ガス脱気装置
英語表記:nitrogen gas
bubbling deaerator
詳細
窒素シール
英語表記:nitrogen seal
詳細
窒素添加
英語表記:nitrogen doping
詳細
中空糸型限外ろ過膜 キャピラリ型限外ろ過膜
英語表記:hollow fiber type
ultrafiltration
詳細
中継ボード
英語表記:extension board
詳細
中心面
英語表記:medium surface
詳細
中性ビームエッチング
英語表記:neutral beam etching
詳細
中性子照射ドーピング
英語表記:NTD:Neutron
Transmutation
Doping
詳細
中性子照射放射化分析
英語表記:neutron bombardment radioactive analysis
詳細
中性線ループ放電
英語表記:neutral roop discharge
詳細
中性能エアフィルタ
英語表記:medium efficiency
particulate air filter
詳細
中性粒子質量分析法
英語表記:SNMS: Sputtered Neutral Mass Spectrometry
詳細
中電流 イオン注入装置
英語表記:medium current ion implanter
詳細
注入スピード 射出スピード
英語表記:transfer speed
injection speed
詳細
注入圧力 射出圧力
英語表記:transfer pressure
詳細
注入室
英語表記:implant chamber process chamber
target chamber
詳細
注入発光法 EL
英語表記:electro luminescence method
詳細
注入誘起ゲッタリング
英語表記:injection-induced
gettering
詳細
超音波、メガソニック
英語表記:ultrtasomc, megasomc
詳細
超音波センサー終点検出法
英語表記:end point detection by ultrasonic sensor
詳細
超音波ホーン
英語表記:ultrasonic horn
詳細
超音波ワイヤボンディング
英語表記:ultrasonic wire bonding
詳細
超音波ワイヤボンディング 超音波ワイヤボンダ
英語表記:ultrasonic wire
bonding
ultrasonic wire
bonder
詳細
超音波顕微鏡法
英語表記:acoustic microscopy
詳細
超音波出力密度
英語表記:ultrasonic power density
詳細
超音波洗浄
英語表記:ultrasonic cleaning
詳細
超音波洗浄装置
英語表記:ultrasonic cleaning equipment
詳細
超音波探査装置
英語表記:scanning acoustic
tomograph
詳細
超音波浮上搬送装置
英語表記:ultrasonic floating
transportation
詳細
超音波風速計
英語表記:ultrasonic
anemometer
詳細
超音波併用熱圧着ワイヤボンディング
英語表記:ultrasonic and thermo compression wire bonding
詳細
超音波併用熱圧着ワイヤボンディング 超音波併用熱圧着ワイヤボンダ
英語表記:thermosonic wire
bonding
thermosonic wire
bonder
詳細
超音波方式
英語表記:ultrasonic sensing method
詳細
超加速寿命試験
英語表記:super accelerating
life test
詳細
超解像露光技術
英語表記:super¯resolution method' resolution enhancement technology
詳細
超高エネルギーイオン注入
英語表記:ultra-high energy ion 1mplantation
詳細
超高周波
英語表記:ultra high frequency
詳細
超高精度EB描画
英語表記:Super high accuracy EB writi mg
詳細
超純水
英語表記:de-ionized water
詳細
超純水
英語表記:ultrapure water
詳細
超純水製造装置 超純水装置
英語表記:ultrapure water
system
詳細
超純水配管材
英語表記:piping materials for ultrapure water
詳細
超純水用帯電防止器
英語表記:antistatic charge
equipment for
ultrapure water
詳細
超熱ビーム法
英語表記:hyperthermal beam method
詳細
超微粒子
英語表記:Ultrafine particles
詳細
長寸法精度
英語表記:placement accuracy
詳細
直接置換式洗浄装置
英語表記:direct displacement type cleaning equipment
詳細
直接描画
英語表記:direct writing
詳細
直流(DC)スパッタリング
英語表記:DC sputtering
詳細
直流放電
英語表記:DC discharge
詳細
直列系
英語表記:series system
詳細
通信プロトコル
英語表記:communication protocol
詳細
通信プロトコル
英語表記:communication
protocol
詳細
低エネルギーイオン注入
英語表記:low energy ion implantation
詳細
低圧プラズマ
英語表記:IOW pressure plasma
詳細
低圧遠隔 スパッタリング
英語表記:long throw sputtering
詳細
低圧紫外線酸化装置 TOC-UV
英語表記:ultraviolet oxidation
unit with low
pressure mercury
lamp
詳細
低圧式吸着型ガス供給システム
英語表記:safety delivery
system
詳細
低温エッチング
英語表記:low temperature etching
詳細
低温エピタキシャル成長
英語表記:low temperature epitaxial growth
詳細
低温液化ガスフィルタ
英語表記:cryogenic liquefled
gas filter
詳細
低温焼結基板
英語表記:low temperature fired ceramics
詳細
低温測定機能
英語表記:cold testing function
詳細
低次元電子ガス
英語表記:Low dimensional electron gas
詳細
低速電子線回析法 LEED
英語表記:low energy electron diffraction method
詳細
低速電子線回折法
英語表記:low energy electron diffraction
詳細
低電圧化
英語表記:low voltage operation
詳細
低熱抵抗パッケージ
英語表記:low thermal resistance package
詳細
低誘電率材料
英語表記:low dielectric materials
詳細
低誘電率層間絶縁膜
英語表記:low dielectric constant film, low-κfilm, low-ɛ film
詳細
低誘電率層間絶縁膜 low-k
英語表記:diamonnd CVD
詳細
低誘電率層間絶縁膜形成方法と特性
英語表記:low-E film deposition and film characteristics
詳細
低誘電率膜
英語表記:low dielectric constant film
詳細
低誘電率膜
英語表記:dielectric constant film
詳細
低溶存酸素純水
英語表記:low dissolved oxygen concentration water
詳細
停止精度
英語表記:stopping accuracy
詳細
定電流回路
英語表記:constant-current circuit
詳細
定盤自動修正機構
英語表記:automatic flatness controller
詳細
定盤揺動機構
英語表記:table oscillation
詳細
抵抗ストリング
英語表記:Resistor String
詳細
抵抗ストリングD/A変換器
英語表記:resistor string D/A converter
詳細
抵抗温度係数
英語表記:temperature coemcient of resistance
詳細
抵抗加熱ヒータ
英語表記:resistance heating element
詳細
抵抗加熱真空蒸着装置
英語表記:resistance heating evaporation system
詳細
抵抗加熱法
英語表記:resistance heating method
詳細
抵抗加熱炉
英語表記:resistance heating furnace
詳細
抵抗率 比抵抗値
英語表記:resistivity
詳細
抵抗率計 比抵抗系
英語表記:resistivity meter
詳細
梯子型抵抗D/A変換器
英語表記:Ladder-type resistor D/A converter
詳細
梯子型抵抗D/A変換器
英語表記:Ladder-type resistor D/A converter
詳細
逓倍PLL
英語表記:clock multiplying PLL
詳細
天井パネル
英語表記:ceiling panel
詳細
天井フィルタシステム
英語表記:ceiling filter system
詳細
天井軌道走行型搬送車 OHS OHT
英語表記:ceiling -track
guided vehicle
overhead -track
guided vehicle
overhead shuttle
overhead hoist
transport
詳細
添加不純物の増速拡散
英語表記:enhanced diffusion
of dopant
詳細
添加不純物の表面酸化による増速拡散。酸化増速拡散
英語表記:oxidation
enhanced
diffusion of
dopant, OED:
Oxidation
Enhanced
Diffusion
詳細
添加不純物の偏析現象
英語表記:segregative phenomena of impurity doping
詳細
貼り合わせ
英語表記:wafer bonding
詳細
転位の運動速度
英語表記:velocity of dislocation motion
詳細
転位の固着
英語表記:locking of
dislocation
詳細
転位クラスタ
英語表記:dislocation cluster
詳細
転位ループ
英語表記:dislocation loop
詳細
転位密度
英語表記:dislocation density
詳細
転位密度 EPD
英語表記:dislocation density etch pit density
詳細
転写ローラ
英語表記:offset cylinder
詳細
点欠陥の拡散係数
英語表記:fiffusion
coefficient of
point defect
詳細
点欠陥の凍結
英語表記:quenching of point
defect
詳細
点欠陥試験
英語表記:point defect test
詳細
点欠陥導入熱処理
英語表記:thermal treatment
injecting point
defect
詳細
伝送変換装置
英語表記:transmission
converter
詳細
伝導体
英語表記:Conduction band
詳細
電圧コントラスト 電位コントラスト
英語表記:voltage contrast
potential contrast
詳細
電圧印加電圧測定 VSVM、VFVM
英語表記:voltage source
voltage
measurement
詳細
電圧印加電流測定 VSIM、VSM、VFIM、VFM
英語表記:voltage source (force)urrent
詳細
電圧制御発振器(VCO)
英語表記:Voltage Controlled Oscillator
詳細
電圧比較器(コンパレータ)
英語表記:comparator (comparator)
詳細
電位測定
英語表記:potential contrast
詳細
電解めっき
英語表記:electro plating
詳細
電解イオン水
英語表記:electrolytic ionized
water
electrolysis ionized
water
詳細
電解イオン水
英語表記:electrolytic ionized water
詳細
電解イオン水製造装置
英語表記:electrolytic ionized
water(EIW)
production
equipment
詳細
電解インプロセスドレッシング研削Elid 研削
英語表記:grinding with electrolytic inprocess dressing
詳細
電解研磨 EP管
英語表記:electro polishing
詳細
電解複合研磨 ECB研磨
英語表記:electro chemical
buffing
詳細
電界イオン顕微鏡法
英語表記:field ion microscopy
詳細
電界イオン水洗浄
英語表記:electrolytic ionized water
詳細
電界処理
英語表記:electro deflssh
詳細
電界放射
英語表記:field emission
詳細
電界放射型顕微鏡法
英語表記:field emission microscopy
詳細
電気めっき法
英語表記:electroplating method for bump formation
詳細
電気トーチ
英語表記:electric flame off
詳細
電気光学効果
英語表記:electro-optic effect
詳細
電気再生式イオン交換装置
英語表記:electrodeionization
equipment
continuous
deionization
詳細
電気的特性
英語表記:electronic properties
詳細
電気伝導
英語表記:electrical conduction
詳細
電気特性終点検出法
英語表記:end point detection by electric resistance
詳細
電気二重層
英語表記:electric double layer
詳細
電気炉アニール装置
英語表記:furnace annealer
詳細
電極材料
英語表記:electrode material
詳細
電源変動
英語表記:power supply fluctuation
詳細
電子なだれ効果
英語表記:electron avalanche effect
詳細
電子の脱出深さ
英語表記:escape depth Of electron
詳細
電子エネルギー損失分光法
英語表記:electron energy loss spectroscopy
詳細
電子サイクロトロン 共鳴 ECR
英語表記:electron cyclotron resonance
詳細
電子シェーディング効果
英語表記:electron shading
effect
詳細
電子スピン共嗚法
英語表記:electron spin resonance
詳細
電子ビーム
英語表記:Electron Beam
詳細
電子ビーム アニール装置
英語表記:electron beam annealer
詳細
電子ビームセルマスク
英語表記:electron beam cell mask
詳細
電子ビームテストシステム 電子ビームプローバ
英語表記:final-wafer test
post test
詳細
電子ビーム加熱真空 蒸着装置
英語表記:electron beam evaporation system
詳細
電子ビーム形状
英語表記:beam profile
詳細
電子ビーム露光装置
英語表記:electron beam exposure system
詳細
電子ピームリソグラフィ
英語表記:electron beam lithography
詳細
電子プローブ EPMA
英語表記:electron probe micro analysis
詳細
電子プローブ微小分析法
英語表記:electron probe micro analysis
詳細
電子温度
英語表記:electronic temperature
詳細
電子顕微鏡法
英語表記:electron microscopy
詳細
電子光学鏡筒洗浄
英語表記:column cleaning, electric optical column cleaning
詳細
電子光学系
英語表記:electron optics
詳細
電子工業用薬品
英語表記:electronic chemicals
詳細
電子式調圧器
英語表記:electric
autopressure
regulator
詳細
電子衝撃イオン化
英語表記:electronic bombard ionization
詳細
電子衝撃加熱蒸着
英語表記:electron beam evaporation
詳細
電子衝撃型
英語表記:electron bombardment X-ray SO urce
詳細
電子線ホログラフィ法
英語表記:electron holography
詳細
電子線リソグラフィ
英語表記:Electron Beam lithography
詳細
電子線回折法
英語表記:electron diffraction
詳細
電子阻止能
英語表記:electronic stopping
詳細
電子励起脱離
英語表記:Electron Stimulated Desorption : ESD
詳細
電磁レンズ
英語表記:magnetic lens
詳細
電磁障害 EMI
英語表記:electro magnetic interference
詳細
電磁波測定器
英語表記:EMI locater
electro-magnetic
wave interference
equipment
詳細
電着ブレード 電鋳ブレード
英語表記:electroformed diamond blade
詳細
電流セル型D/A変換器
英語表記:current cell D/A converter
詳細
電流セル型D/A変換器
英語表記:A/D Converter
詳細
電流ミラー回路
英語表記:current-mirror circuit
詳細
電流印加電圧測定 ISVM、ISM、IFVM、IFM
英語表記:current source
詳細
電流密度依存性グラフ
英語表記:dependence of life
time on current
density plot
詳細
塗布シリコン酸化膜
英語表記:SOG : Spin 0n Glass
詳細
塗布ムラ コメット スプラッシュバック カップ内ミスト
英語表記:coat defect
comet
splash back
mist in bowl
詳細
砥粒
英語表記:abrasive grain
詳細
砥粒の分級
英語表記:abrasive classification
詳細
投影レンズ
英語表記:proJection lens
詳細
投影光学系
英語表記:projection optical system
詳細
投影飛程
英語表記:projected range
詳細
投影露光装置
英語表記:projection aligner
詳細
等倍露光
英語表記:proxnnity ion beam lithogra・ phy
詳細
等方性エッチング
英語表記:isotropic etching
詳細
統計的加熱
英語表記:statistical heating
詳細
透過型電子顕微鏡 TEM
英語表記:transmission electron microscope
詳細
透過型電子顕微鏡法
英語表記:transmission electron microscopy
詳細
透視変換
英語表記:Transformation
詳細
同期移動
英語表記:synchronized drive
詳細
同期抽出PLL
英語表記:clock recovery PLL
詳細
同時 スパッタリング装置
英語表記:co-sputtering system
詳細
同時スイッチングノイズ
英語表記:simultaneouse switcing noise
詳細
同時測定機能 並列測定機能
英語表記:parallel test function
詳細
同軸マグネトロン スパッタリング装置
英語表記:coaxial magnetron sputtering system
詳細
同軸円筒型 プラズマCVD装置
英語表記:coaxial cylindrical plasma enhanced CVD system
詳細
同軸型直衝突低速イオン散乱分光法
英語表記:CAICISS: Co-Axial Impact Collision Ion Scattering Spectroscopy
詳細
同軸落射照明
英語表記:coaxial lighting
詳細
瞳フィルタ法
英語表記:pupil filter
詳細
銅配線
英語表記:copper conductor
詳細
特殊な信号処理演算器
英語表記:special signal processing arithmetic logic unit
詳細
特殊な数値表現
英語表記:Special numerical value expression
詳細
特殊高圧ガス
英語表記:specified high
pressure gas
詳細
特殊材料ガス 半導体製造用材料ガス
英語表記:specific material gas
material gas for
semiconductor
fabrication
詳細
特殊材料ガス用パーティクルカウンタ
英語表記:special gases
particle counter
詳細
特性インピーダンス
英語表記:characteristic impedance
詳細
特定フロン
英語表記:chloro fluoro carbon
hydro chloro fluoro
carbon
詳細
特定化学物質
英語表記:specific chemical
substances legally
designated
詳細
内周刃式切断機
英語表記:inner diameter saw
詳細
内部クロック周期
英語表記:internal clock period
詳細
内部応力
英語表記:internal stress
詳細
内部燃焼装置
英語表記:internal torch unit
詳細
内分泌かく乱化学物質環境ホルモン
英語表記:endocrine disruptors
environmental
hormones
詳細
捺印視認性
英語表記:marking visibility
詳細
軟X線照射除電装置
英語表記:soft-X-ray charge
neutralizer
詳細
二酸化炭素消火設備
英語表記:carbon dioxide gas
extinguishing
system
詳細
二次イオン質量分析法 SIMS
英語表記:secondary ion mass spectroscopy
詳細
二次欠陥
英語表記:secondary defect
詳細
二次電子像観測機能
英語表記:secondary electron
image
詳細
二次粒子
英語表記:Secondary particle
詳細
二重るつぼ法
英語表記:double crucible method
詳細
二重ジャケット 冷却水配管
英語表記:double jacket
condensing water
system
double jacket
cooling water
piping system
詳細
二重ルツボ法
英語表記:double crucible
method
詳細
二重拡散
英語表記:double diffusion
詳細
二段RO装置
英語表記:double pass RO
詳細
二段といし 多段といし
英語表記:two-stage wheel multi-stage wheel
詳細
二面テーパコレット
英語表記:two sided channel collet
詳細
入室者管理
英語表記:access control
詳細
入出庫最適順番制御
英語表記:due in-out sequence
control
詳細
入力応答速度
英語表記:input slew rate
詳細
認識範囲
英語表記:capture range
詳細
認識率
英語表記:hit rate
recognition rate
詳細
熱CVD装置
英語表記:thermal CVD system
詳細
熱い電子
英語表記:hot electron
詳細
熱圧着ワイヤボンディング
英語表記:thermo compression wire bonding
詳細
熱応力
英語表記:thermal stress
詳細
熱解析
英語表記:thermal analysis
詳細
熱回収設備
英語表記:heat recovery system recuperator
詳細
熱拡散
英語表記:thermomigration
詳細
熱拡散
英語表記:Thermal diffusion
詳細
熱拡散過程
英語表記:diffusion process
詳細
熱拡散装置
英語表記:thermal diffusion furnace
詳細
熱源設備
英語表記:energy plant
詳細
熱交換器
英語表記:heat exchanger
詳細
熱酸化
英語表記:thermal oxidation
詳細
熱酸化装置
英語表記:thermal oxidation furnace
詳細
熱酸化不動態処理 CRP処理
英語表記:thermal oxidation
passivation
詳細
熱酸化膜
英語表記:Thermal Oxide Film
詳細
熱酸化炉
英語表記:Thermal Oxidation Furnace
詳細
熱刺激電流法
英語表記:thermally stimulated current method
詳細
熱処理ウェーハ
英語表記:annealed wafer
詳細
熱処理炉
英語表記:heat treatment furnace
詳細
熱衝撃試験
英語表記:thermal shock test
詳細
熱線流速計
英語表記:hot wire
anemometer
詳細
熱窒化
英語表記:thermal nitridation
詳細
熱抵抗
英語表記:Thermal resistance
詳細
熱抵抗の測定
英語表記:measurement of thermal resistance
詳細
熱抵抗の定義
英語表記:definition of thermal resistance
詳細
熱的洗浄
英語表記:thermal cleaning
詳細
熱電変換素子
英語表記:thermoelectric transducer
詳細
熱風乾燥装置
英語表記:hot air (gas)drying equipment
詳細
熱膨張係数
英語表記:thermal expansion
coefficient
詳細
熱履歴
英語表記:thermal budget
詳細
燃焼式排ガス処理装置
英語表記:exhaust gas
abatement
equipment by
incineration
process
詳細
濃縮水
英語表記:RO reject water
詳細
波形デジタイザ
英語表記:waveform digitizer
詳細
波形モード
英語表記:waveform mode
詳細
波形測定機能
英語表記:waveform
measurement
詳細
波動カットオフ
英語表記:vibration cut off
詳細
波面収差
英語表記:wave aberration
詳細
破壊応力
英語表記:fracture stress
詳細
破断モード
英語表記:shearing mode
failure mode
neck breaking
second bond off
詳細
廃液自動切替システム
英語表記:waste fluid separator
詳細
廃水処理・回収装置
英語表記:waste water
treatment and
reclamation system
詳細
排ガス回収装置
英語表記:exhaust gas
recovery system
詳細
排ガス処理装置
英語表記:exhaust gas treatment equipment
詳細
排気圧力制御
英語表記:exhaust pressure
control
詳細
排水回収処理装置
英語表記:waste water
reclamation system
詳細
排泥装置
英語表記:sludge removal
equipment
詳細
配管接合技術
英語表記:pipeline jointing technique
詳細
配線金属
英語表記:wiring metal
詳細
配線信頼性
英語表記:reliability of interconnections
詳細
配線信頼性
英語表記:interconnect reliability
詳細
配線抵抗
英語表記:interconnect resistance
詳細
配線容量
英語表記:interconnect capacitance
詳細
秤量槽レス薬液供給装置
英語表記:measuring tank-less chemical supply system
詳細
薄膜化
英語表記:approach for thin film
詳細
薄膜形成装置
英語表記:thin film deposition system
詳細
薄膜多層基板
英語表記:thin film multi layer substrate
詳細
薄膜堆積法
英語表記:thin film deposition methods
詳細
薄膜半導体
英語表記:thin film semiconductor
詳細
発光過程
英語表記:light emission process
詳細
発光効率
英語表記:light emission efficiency
詳細
発光分析
英語表記:optical emission spectroscopy
詳細
発振回路
英語表記:oscillator circuit
詳細
発生ライフタイム
英語表記:generation lifetime
詳細
発生再結合電流
英語表記:generation recombinatlon current
詳細
抜き取り検査
英語表記:sampling inspection
詳細
半影ボケ
英語表記:penumbral blur, blur
詳細
半乾式排ガス処理装置
英語表記:semi dry type
exhaust gas
abatement
equipment
詳細
半導体パッケージのシミュレーション
英語表記:simulation of semiconductor package
詳細
半導体級多結晶シリコン:EGーSi
英語表記:Electronic Grade-Silicon
詳細
半導体材料
英語表記:semiconductor materials
詳細
半導体接着ウェーハ 貼り合わせウェーハ
英語表記:semiconductor bonding wafer
詳細
反応室 成長室 リアクタ
英語表記:reaction chamber deposition chamber reactor
詳細
反応性 スパッタリング装置
英語表記:reactive sputtering system
詳細
反応性イオン エッチング装置 RIE装置 反応性スパッタ エッチング装置
英語表記:reactive ion etching
system
RIE system
reactive sputter etching system
詳細
反応性イオンエッチング
英語表記:Reactive Ion Etching: RIE
詳細
反応性イオンエッチング装置
英語表記:reactive 10n etching equil)ment
詳細
反応性イオンビーム エッチング装置 RIBE装置
英語表記:reactive ion beam
etching system
RIBE system
詳細
反応性スパッタリング
英語表記:reactive sputtering
詳細
反応性プラズマ過程
英語表記:reactive plasma process
詳細
反応性プラズマ計測
英語表記:Reactive plasma measurement
詳細
反応副生成物
英語表記:reaction byproducts
詳細
反応律速
英語表記:reaction controlled
詳細
反射型電子顕微鏡法
英語表記:reflection electron microscopy
詳細
反射高速電子線回析法 RHEED
英語表記:reflection high energy electron diffraction method
詳細
反射防止プロセス
英語表記:Anti-reflection process
詳細
反射防止膜
英語表記: anti-reflective coating
詳細
反射防止膜
英語表記:anti-reflective coat
詳細
搬送リードタイム
英語表記:transportation
leadtime
詳細
搬送ロボット
英語表記:transport robot
詳細
搬送情報(管理)
英語表記:material movement
information
transportation
information
詳細
搬送量 FROM/TO量
英語表記:transportation amount
詳細
比研磨量・圧力比
英語表記:specific amount of stock removal
詳細
比摩耗量・圧力比
英語表記:specific amount of pad wear
詳細
非クロムエッチング
英語表記:non Cr etching
詳細
非一方向流型クリーンルーム 乱粒型クリーンルーム
英語表記:non-unidirectional
flow clean room
turbulent flow clean
room
詳細
非鏡面ウェーハ
英語表記:non mirror wafer
詳細
非晶質カルコゲナイド
英語表記:amorphous chalcogenide thin films
詳細
非常用照明設備
英語表記:emergency light
system
詳細
非水系洗浄装置
英語表記:non-aqueous cleaning equipment, washing equipment
詳細
非接触給電方式
英語表記:non-contact power
supply
詳細
非接触型テストシステム
英語表記:noncontact test
system
詳細
非弾性散乱過程
英語表記:non elastic dispersron process
詳細
非定在波型超音波発生装置
英語表記:non-standing wave type ultrasonic generator
詳細
非同期テスト
英語表記:asynchronous test
詳細
非同期プロセッサ
英語表記:asynchronous processor
詳細
非放射再結合
英語表記:nonradiative recombination
詳細
飛行時間型二次イオン質量分析法
英語表記:TOF-SIMS: Time-Of-Flight Secondary Ion Mass Spectrometry
詳細
微細構造内洗浄
英語表記:cleaning of fine patterned surface
詳細
微細配線基板
英語表記:fine pitch printed circuit board, fine pitch substrate
詳細
微振動対策設備
英語表記:anti-vibration device
詳細
微分型電気移動度分析器 DMA 静電式エアロゾル分級器
英語表記:differential mobility
analyzer
詳細
微粉
英語表記:powder macro grits
詳細
微粒子 パーティクル
英語表記:particulatesmall particleparticle
詳細
微粒子衝撃
英語表記:particle scrubbing
詳細
微粒子数
英語表記:number of particles
詳細
微量イオン
英語表記:trace level ion
詳細
標準化
英語表記:standardization
詳細
標準粒子発生器
英語表記:standard particle
generator
詳細
表面EXAFS
英語表記:surface EXAFS (surface Extended X-ray Absorption Fine Structure)
詳細
表面XANES
英語表記:surface XANES (surface X-ray Absorption Near-Edge Structure)
詳細
表面・界面
英語表記:surface and interface
詳細
表面エキシトン
英語表記:surface exciton
詳細
表面エネルギー
英語表記:surface energy
詳細
表面ダングリングボンド
英語表記:surface dangling bond
詳細
表面パーティクル測定
英語表記:particle distribution measurement
詳細
表面フォノン
英語表記:surface phonon
詳細
表面プラズモン
英語表記:surface plasmon
詳細
表面プラズモン励起
英語表記:surface plasmon excitation
詳細
表面プロファイル計測終点検出法
英語表記:end point detection by surface profile mesurement
詳細
表面ポラりトン
英語表記:surface polariton
詳細
表面マイクロラフネス
英語表記:surface roughness
詳細
表面ラフネス散乱
英語表記:surface roughness scattering
詳細
表面移動度
英語表記:surface electron mobility
詳細
表面汚染
英語表記:surface
contamination
詳細
表面拡散
英語表記:surface migration
詳細
表面拡散
英語表記:surface diffusion
詳細
表面格子
英語表記:surface lattice
詳細
表面格子欠陥
英語表記:surface lattice defect
詳細
表面緩和
英語表記:surface relaxation
詳細
表面基準研磨
英語表記:polish reference to front surface of the water
詳細
表面現象
英語表記:surface phenomena
詳細
表面光起電位(SPV)法
英語表記:Surface Photo Voltage method
詳細
表面光起電力法 SPV
英語表記:surface photovoltaic method
詳細
表面効果
英語表記:surface effect
詳細
表面構造
英語表記:surface structure
詳細
表面構造
英語表記:Surface structure
詳細
表面再結合
英語表記:surface recombination
詳細
表面再構成
英語表記:surface reconstruction
詳細
表面再配列と緩和
英語表記:surface reconstruction and relaxation
詳細
表面散乱
英語表記:surface scattering
詳細
表面実装型パッケージ
英語表記:surface mount type package
詳細
表面修飾
英語表記:surface decoration
詳細
表面準位
英語表記:surface states
詳細
表面障壁
英語表記:surface barrier
詳細
表面清浄度
英語表記:surface cleanliness
詳細
表面粗さ
英語表記:surface nucro-roughness
詳細
表面粗さ
英語表記:surface roughness
詳細
表面相転移
英語表記:surface phase transition
詳細
表面張カ
英語表記:surface tension
詳細
表面電位
英語表記:surface potential
詳細
表面電位
英語表記:surface potential
詳細
表面電気伝導
英語表記:surface electric conduction
詳細
表面電子構造
英語表記:surface electronic structure
詳細
表面濃度
英語表記:surface concentration
詳細
表面波プラズマ
英語表記:surface wave plasma
詳細
表面波共鳴現象
英語表記:surface wave resonance phenomenon
詳細
表面反応
英語表記:surface reaction
詳細
表面反応
英語表記:surface reaction
詳細
表面不溶化、難溶解層
英語表記:surface insolubility, insoluble layer
詳細
表面付着粒子測定機 表面欠陥測定機
英語表記:optical detector of
particle on
surfaces
surface scanner
詳細
表面偏析
英語表記:surface segregation
詳細
表面保護テープ
英語表記:protection tape
詳細
描画ソフト
英語表記:control software of e-beam writer
詳細
描画方式
英語表記:exposure strategy
詳細
描画領域
英語表記:main field area
詳細
品質管理システム
英語表記:quality control
system
詳細
不活性化絶縁膜
英語表記:passivasion dielectrics
詳細
不純物拡散
英語表記:impurity diffusion
詳細
不純物拡散
英語表記:Impurity diffusion
詳細
不純物拡散
英語表記:Impurity Diffusion
詳細
不純物再分布
英語表記:impurity redistribution
詳細
不純物順位
英語表記:impurity level
詳細
不純物添加(ドーピング)
英語表記:impurity doping
詳細
不純物伝導
英語表記:impurity conduction
詳細
不純物導入
英語表記:impurity doping
詳細
不純物濃度
英語表記:impurity concentration
詳細
不純物濃度依存酸化
英語表記:impurity density dependence oxidation
詳細
不純物半導体
英語表記:impurity semiconductor
詳細
不純物分布
英語表記:Impurity profile
詳細
不純物捕獲
英語表記:impurity trap
詳細
不織布
英語表記:unwoven fabric
詳細
不良モード
英語表記:failure mode
詳細
不良回析メモリ フェイルメモリ
英語表記:failure analysis
memory
詳細
付着粒子汚染
英語表記:surface
contamination
by particulate
詳細
浮上分離装置 加圧浮上装置
英語表記:floatation
equipment
詳細
浮遊電位
英語表記:floating potential
詳細
負荷回路
英語表記:load circuit
詳細
負荷電源ライン選択リレー
英語表記:load power supply
selector
詳細
部分一括露光
英語表記:character projection
詳細
複数ステーション同期試験
英語表記:multi-station
synchronous probe
test
詳細
複葉回収 バッチ回収
英語表記:batch wafers retrieval
詳細
物理吸着
英語表記:physisorption
詳細
物理洗浄
英語表記:physical cleaning
詳細
物理的スパッタリング
英語表記:physical sputtering
詳細
物理的気相成長
英語表記:PVD : Physical Vapor Deposi・ tion
詳細
分画分子量
英語表記:cut-off molecular
weight
詳細
分解せん断応力
英語表記:resolved shear
stress
詳細
分解式排ガス処理装置
英語表記:exhaust gas
abatement
equipment by
decomposition
process
詳細
分光エリプソメトリ
英語表記:SE: Spectro Ellipsometry
詳細
分散型プロセス入出力装置
英語表記:distributed process
input output control
equipment
詳細
分子線エピタキシー法
英語表記:Molecular Beam Epitaxy : MBE
詳細
分子線エピタキシャル成長装置
英語表記:molecular beam epitaxial growth system
詳細
分子量分散
英語表記:molecular weight dispersion
詳細
分数量子ホール効果
英語表記:fractional quantum Hall effect
詳細
分析スリット
英語表記:resolving aperture
詳細
分析マグネット
英語表記:analyzing magnet
詳細
噴流式エッチング装置
英語表記:overflow cup etching system
詳細
平コレット フラットノズル
英語表記:flat collet
flat nozzle
詳細
平均径
英語表記:mean diameter
詳細
平均自由行程
英語表記:mean free path
詳細
平行平板型
英語表記:parallel plate type
詳細
平行平板型RIE装置
英語表記:arallel plate reactive ion etching system
詳細
平行平板型 プラズマCVD装置
英語表記:diode parallel plate plasma enhanced CVD system
詳細
平行平板型エッチング装置
英語表記:parallel flat Plane type etching eqmpment
詳細
平衡偏析係数
英語表記:equilibrium segregation coefficient
詳細
平坦化
英語表記:planarization
詳細
平坦化
英語表記:Planarization
詳細
平坦化 プラナリゼーション
英語表記:planarization
詳細
平坦度 フラットネス
英語表記:flatness
詳細
平坦度(TTV)
英語表記:Total Thickness Variation
詳細
平板マグネトロン スパッタリング装置
英語表記:planar magnetron sputtering system
詳細
平面円筒研削盤
英語表記:surface & cylindrical grinding machine
詳細
平面円筒複合研削盤
英語表記:surface & cylindrical double(triple)head grinding machine
詳細
並列処理
英語表記:parallel processing
詳細
閉管法
英語表記:closed-tube method
詳細
壁との相互作用
英語表記:plasma¯wall interactions
詳細
偏光解析法(エリプソメトリ)
英語表記:ELL: Ellipsometry
詳細
偏向整定時間
英語表記:deflection settling time
詳細
偏向歪
英語表記:field distortion
詳細
偏心小円運動ポリシング装置
英語表記:eccentric small circular movement polishing system
詳細
偏析誘起ゲッタリング
英語表記:segregation -
induced gettering
詳細
変形照明
英語表記:modified illumination
詳細
変形照明 斜入射照明
英語表記:modified
illumonation
oblique incidence
illunination
詳細
片面チップ部品リフローソルダリング
英語表記:single sided reflow soldering, process
詳細
片面ポリシング機
英語表記:single side polishing machine
詳細
片面ラップ盤
英語表記:single side lapping machine
詳細
片面研磨装置
英語表記:single side polisher
詳細
片面実装
英語表記:single sided mounting
詳細
保安フィルタ 安全フィルタ
英語表記:safety filter
詳細
保管庫 クリーンストッカー
英語表記:stocker
詳細
補誤差関数分布
英語表記:complementary error function distribution
詳細
倣いマスタ
英語表記:copy master
詳細
倣い研削
英語表記:copy grinding
詳細
倣い方式面取り加工
英語表記:copy chamfering
詳細
放射光
英語表記:synchrotron radiation, synchrotron orbital radiation
詳細
放射再結合
英語表記:radiative recombination
詳細
放射線損傷
英語表記:radiation damage
詳細
放射率補正
英語表記:emissivity correction
詳細
方向性形状
英語表記:anisotropic profile
詳細
芳香族エーテル
英語表記:aromatic ethers
詳細
芳香族炭化水素
英語表記:aromatic hydrocarbon
詳細
飽和吸湿量
英語表記:content of saturated moisture absorption
詳細
防食剤
英語表記:corrosion inhibitors
詳細
防塵マスク
英語表記:clean mask
dust free mask
詳細
防塵マット 粘着マット
英語表記:sticky mat
詳細
防塵手袋
英語表記:clean glove
dust free glove
詳細
防爆型冷蔵庫
英語表記:explosion -proof
refrigerator
詳細
魔鏡トポグラフィ
英語表記:magic mirror topography
詳細
埋め込み酸化膜
英語表記:buried oxide
詳細
埋め込み配線
英語表記:buried wiring
詳細
枚葉回収
英語表記:individual wafer retrieval
詳細
枚葉研磨
英語表記:single wafer polishing
詳細
枚葉式
英語表記:single wafer processing
詳細
枚葉式ポリシング機
英語表記:single wafer polishing machine
詳細
枚葉式研磨装置
英語表記:single wafer type polisher
詳細
枚葉式洗浄装置
英語表記:single wafer processing cleaner
詳細
枚葉洗浄
英語表記:single wafer cleaning
詳細
膜厚制御
英語表記:thickness control
詳細
膜厚測定法
英語表記:film thickness measurement
詳細
膜式前処理装置 膜除濁装置
英語表記:pretreatment
equipment with
membrance filter
詳細
膜式廃水処理装置
英語表記:waste water
treatment
equipment with
membrane filter
詳細
膜脱気装置
英語表記:membrane deaerator
詳細
膜疲労特性
英語表記:fatigue property
詳細
未充填
英語表記:incomplete filling
詳細
密着性強化剤塗布 アドヒージョン処理 HMDS処理
英語表記:adhesion promoter coating
vapor primer coating
詳細
密閉循環式冷却水設備
英語表記:closed circuit
condensing water
system closed circuit
cooling water
system
詳細
無機系
英語表記:Inorganic type
詳細
無欠陥領域
英語表記:denuded zone
詳細
無塵衣 クリーンルーム用衣服 防塵衣
英語表記:clean garments
dust free garments
詳細
無停電電源装置 UPS
英語表記:uninterruptible
power supply
詳細
無転位単結晶
英語表記:non-dislocation
single crystal
詳細
無転移結晶
英語表記:dislocation free crystal
詳細
面圧逃げ
英語表記:surface pressure recess
詳細
面圧力分布測定
英語表記:surface pressure distribution measurement
詳細
面間均一性
英語表記:wafer to wafer non-uniformity
詳細
面積欠陥試験
英語表記:area defect test
詳細
面積密度法
英語表記:pattern area density map method
詳細
面粗さ
英語表記:surface roughness
詳細
面内均一性
英語表記:within wafer non-uniformity
詳細
面内均一性 wiw
英語表記:within wafer
詳細
模型装置
英語表記:horizontal type reactor system
詳細
目抜け
英語表記:separate feeling
詳細
薬液再生装置
英語表記:chemical reprocessor
詳細
薬液収納部 ケミカルキャビネット
英語表記:chemical cabinet
詳細
薬液用フィルタ
英語表記:chemical filter
詳細
薬品供給配管
英語表記:chemical distribution
piping
詳細
薬品自動希釈混合装置
英語表記:automatic chemical diluting and mixing equipment
詳細
薬品自動希釈混合装置
英語表記:automatic chemical
diluting and (mixing)
equipment
詳細
薬品自動供給装置
英語表記:automatic chemical
supply(dispense)
system
詳細
薬品注入装置 凝集用薬品注入装置
英語表記:chemicals feeding
unit
詳細
薬品濃度計
英語表記:chemical
concentration meter
詳細
薬品容器
英語表記:chemical container
詳細
有機SOG
英語表記:Spin On organic Glass
詳細
有機シリコン酸化膜
英語表記:organic silicon dielectrics
詳細
有機金属CVD装置 MOCVD装置 OMCVD装置
英語表記:vertical low pressure CVD system
詳細
有機金属気相エピタキシャル成長装置 MOVPE装置 OMVPE装置
英語表記:metal organic vapor phase epitaxial growth system MOVPE system organo-metalic VPE system
詳細
有機高分子膜
英語表記:organic polymer
詳細
有機酸系スラリー
英語表記:organic acid slurry
詳細
有機物汚染
英語表記:organic contamination
詳細
有機物汚染
英語表記:organic contamination
詳細
有磁場マイクロ波プラズマ
英語表記:magnetic field microwave plasma
詳細
有転位化
英語表記:first dislocation generation
詳細
有転位結晶
英語表記:normal single crystal
詳細
有転位単結晶
英語表記:dislocation single
crystal
詳細
誘引漏洩 誘引リーク
英語表記:induction leakage
詳細
誘起欠陥・損傷
英語表記:damage, defect
詳細
誘電率
英語表記:dielectric constant
詳細
誘導結合プラズマエッチング装置
英語表記:induced combination plasma etching equipment
詳細
誘導結合プラズマエッチング装置
英語表記:inductively coupled plasma etching system
詳細
誘導結合プラズマ質量分析法(ICP-MS)
英語表記:Inductively Coupled Plasma - Mass Spectrometry
詳細
誘導放出
英語表記:stimulated emission, induced emission
詳細
遊離と粒
英語表記:loose abrasive
詳細
遊離砥粒研磨
英語表記:loose abrasive polishing
詳細
融液結晶成長(成長機構)
英語表記:liquid phase crystal growth
詳細
融解潜熱
英語表記:heat of fusion
詳細
予備整備
英語表記:preventive
maintenance
詳細
容量アレー型D/A変換器
英語表記:Capacitive array D/A converter
詳細
容量アレー型D/A変換器
英語表記:capacitor array D/A converter
詳細
容量センサー終点検出法
英語表記:end point detection by capacity sensor
詳細
溶液気化CVD
英語表記:vaporized solution source CVD
詳細
溶存酸素
英語表記:dissolved oxygen
詳細
溶存酸素計
英語表記:dissolved oxygen
analyzer
詳細
溶融アルミナ
英語表記:fused alumina
詳細
陽極結合
英語表記:anode coupling
詳細
陽極酸化
英語表記:anodic oxidation
詳細
陽電子消滅
英語表記:positron annihilation
詳細
理想表面
英語表記:ideal surface
詳細
裏面デポ抑制
英語表記:exclusing backside deposition
詳細
裏面汚染転写
英語表記:backside contaminants transfer
詳細
裏面加工歪層
英語表記:backside damage
layer
詳細
裏面基準
背面基準
英語表記:back side reference
詳細
裏面基準研磨
英語表記:polish reference to back surface of the wafer
詳細
裏面研削
英語表記:back side grinding
詳細
裏面光沢度
英語表記:back surface luster
詳細
律速過程
英語表記:rate-determining process
詳細
立軸回転テーブル形平面研削盤
英語表記:vertical spindle rotary table surface grinding machine
詳細
流動性CVD
英語表記:self-flow CVD
詳細
流量検出器
英語表記:flow sensor
詳細
硫酸過酸化水素水混合溶液
英語表記:sulfuric acid/ hydrogen peroxide mixture
詳細
硫酸過酸化水素洗浄 SPM洗浄 ピラニア洗浄
英語表記:sulfuric acid
hydrogen peroxide
cleaning
SPM Cleaning
piranha cleaning
詳細
硫酸再生装置
英語表記:sulfuric acid
reprocessor
詳細
粒子静電電荷量測定器
英語表記:electrostatic charge
meter for particle
詳細
粒状活性炭
英語表記:granular activated
carbon
詳細
粒状多結晶シリコン
英語表記:grain type poly-crystal silicon
詳細
粒度
英語表記:grain size grits
詳細
粒度分布
英語表記:particle-size distribution
詳細
両テレセントリックレンズ
英語表記:double telecentricity lens
詳細
両極性拡散
英語表記:two Poles diffusion
詳細
両性不純物
英語表記:amphoteric impurity
詳細
両面チップ部品/両面リフローソルダリング
英語表記:Double-sided chip components/double-sided reflow soldering
詳細
両面ポリシング機
英語表記:double side polishing machine
詳細
両面ラップ盤
英語表記:double side lapping machine
詳細
両面研削盤
英語表記:double head grinding machine
詳細
両面研磨
英語表記:double side polishing
詳細
両面研磨装置
英語表記:double side polisher
詳細
両面実装
英語表記:double sided mounting
詳細
両面露光装置
英語表記:double sided aligner
詳細
量子ホール効果
英語表記:quantum Hall effect
詳細
量子化誤差
英語表記:digitized error
詳細
輪帯照明
英語表記:annular illumination
詳細
累積ハザード紙
英語表記:cumulative hazard paper
詳細
累積故障確率
英語表記:cumulative failure
詳細
冷却チラー
英語表記:cooling chiller
詳細
冷却技術
英語表記:cooling technology
詳細
冷却水管理
英語表記:cooling water
control
詳細
冷却水設備
英語表記:condensing waste
system
cooling water
system
詳細
冷却塔 クーリングタワー
英語表記:cooling tower
詳細
励起子吸収
英語表記:Exciton absorption
詳細
連続アニール
英語表記:multi cycle annealing
詳細
連続チャージCZ装置
英語表記:continuously
charged CZ
equipment
詳細
連続チャージ法
英語表記:continuously
charged
Czochralski
method
詳細
連続チャージ法 連続溶融法
英語表記:continuously charged CZ method
詳細
連続フェイルチェック機能
英語表記:continuous failure
check function
詳細
露光機差
英語表記:pattern difference between exposure tools
詳細
露光後ベーク PEB 定在波除去ベーク
英語表記:post-exposure-bake
詳細
露光時間
英語表記:exposure time
詳細
露光波長
英語表記:exposure wavelength
詳細
露光雰囲気
英語表記:exposure environment
詳細
露光方式
英語表記:exposure method
詳細
露光余裕度
英語表記:exposure latitude
詳細
露店温度検出器
英語表記:dew point
temperature sensor
詳細
露店計
英語表記:dew point meter
詳細
漏液センサ
英語表記:liquid spill sensor
詳細
漏水検出器
英語表記:water leakage
sensor
詳細
劈開面
英語表記:cleavage plane
詳細
輻射シールド
英語表記:radiation shield
詳細
閾値電圧:Vth
英語表記:Threshold Voltage
詳細