す の半導体用語

3D-NANDフラッシュメモリ

英語表記:

すべり系、すべり面

英語表記:slip system、slip planc

スーパーボルテージ機能

英語表記:super voltage function

スーパバッファメモリ SBM HBM

英語表記:super buffer memory

スイープ測定サーチ

英語表記:sweep mesurement

スカベンジャ

英語表記:scavenger

スカム

英語表記:scum

スキップ測定

英語表記:skip measurement

スキャナ

英語表記:scanner

スキャナ

英語表記:Scanner

スキャナーサイトフラットネス SFFD SFSR

英語表記:scanner site flatnesss site front least-squares subsite deviation site front least-squares subsite range

スキャンテスト

英語表記:

スキャンディレクション

英語表記:scan direction

スキャンデザイン デバイス測定機能

英語表記:test function of scan designed devices

スキャンパステスト

英語表記:scan pass

スキャンビーム電流

英語表記:scanned beam current

スキュー

英語表記:skew

スクラッチ

英語表記:scratch

スクラッチ

英語表記:scratch

スクラッチ

英語表記:scrach

スクラバ

英語表記:scrubber

スクラブ

英語表記:scrubbing

スクラブ洗浄

英語表記:scrubbing

スクリーニング

英語表記:screening

スケール防止剤

英語表記:scale inhibitors

スタッカクレーンカセットリフタ

英語表記:stacker crane cassette lifter

スタックド スタックドCSP

英語表記:stcked stcked CSP

スタティック バーンイン装置

英語表記:static burn-in system

スタティックファンクションテスト

英語表記:static functional test

スタンドオフ

英語表記:stand-off

スタンバ ディプレスステージ

英語表記:stamper depressed stage

スタンピングヘッド

英語表記:stamping head

スチーム酸化

英語表記:steam oxidation

スチーム酸化

英語表記:steam oxidation

ステージ

英語表記:stage

ステージ移動

英語表記:stage moving

ステージ姿勢

英語表記:stage attitude

ステージ連続移動

英語表記:Stage continuous movmg

ステッチボンド

英語表記:stitch bonding

ステッパ

英語表記:stepper

ステップアンドスキャン

英語表記:step-and-scan

ステップアンドリピート

英語表記:step and repeat

ステップエッチング

英語表記:step etching

ステップカット

英語表記:step cutting

ステップカバレッジ

英語表記:Step coverage

ステップカバレッジ

英語表記:Step coverage

ステップカバレッジ

英語表記:Step coverage

ステップピッチ

英語表記:step pitch

ステップ式投影露光装置

英語表記:stepping projection aligner

ステンシルマスク

英語表記:stencil mask

ストッカ

英語表記:stocker

ストッパ

英語表記:stopper

ストッパー膜

英語表記:stopper film

ストリート

英語表記:street

ストリエーション

英語表記:striation

ストリエーション

英語表記:striation

ストレスマイグレーション

英語表記:stress-induced migration

ストレスマイグレーション(SM)

英語表記:Stress-Migration

ストレスマイグレーション試験

英語表記:stress migration

ストレッチ アンドスクイズ

英語表記:stretch and squees

ストローブ

英語表記:strobe

ストロボ法

英語表記:stroboscopic imaging

スナップキュア

英語表記:snap cure

スパークギャップ

英語表記:spark gap

スパークギャップ

英語表記:spark gap

スパイラル型逆浸透膜モジュール

英語表記:spiral-wound type reverse osmosis membrane module

スパッタイオンポンプ

英語表記:sputter ion pump

スパッタエッチング

英語表記:スパッタエッチング

スパッタエッチング

英語表記:sputter etching

スパッタガン スパッタリング装置

英語表記:sputter-gun sputtering system

スパッタリング

英語表記:sputtering

スパッタリング装置 スパッタ装置

英語表記:sputtering system

スパッタ率

英語表記:sputtering yield

スパンカ

英語表記:spanker

スピンカップ

英語表記:Spin cup

スピンカップ

英語表記:spin cup

スピンコータ

英語表記:spin coater

スピンコート法

英語表記:spin coat method

スピンチャック

英語表記:spin chuck

スピンチャック

英語表記:spin chuck

スピンデベロッパ

英語表記:spin developer

スピンドライヤ

英語表記:spin dryer

スピンドル

英語表記:spindle

スピンモータ 立ち上がり特性

英語表記:acceleration

スピンモータ回転数

英語表記:rotation speed

スピン乾燥

英語表記:spin drying

スピン乾燥

英語表記:spin drying

スピン洗浄

英語表記:spin cleaning

スピン洗浄装置

英語表記:spin cleaner

スピン洗浄装置

英語表記:spin cleaner

スプリンクラー設備

英語表記:sprinkler system

スプレーデベロッパ

英語表記:spray developer

スプレー型(バッチ)

英語表記:spray type

スプレー現像

英語表記:spray developing

スプレー式エッチング装置

英語表記:spray etching system

スプレー塗布

英語表記:spray coating

スペアロー/カラム予備行/列リンボー

英語表記:spare row/columnlimbo

スマートカット

英語表記:smart cut

スミア試験

英語表記:smear test

スムージング

英語表記:smoothing

スライシング

英語表記:Slicing

スライシング

英語表記:slicing

スライスベース

英語表記:slice base

スライム防止剤

英語表記:slime inhibitors

スラリー

英語表記:slurry

スラリー

英語表記:slurry

スラリーセパレータ

英語表記:slurry separator

スラリー分析終点検出法

英語表記:end point detection by slurry constituent analysis

スリーウェイ方式

英語表記:three-way

スリップ

英語表記:slip

スリップ

英語表記:slip

スルーザウォール構造

英語表記:through the wall structure

スループット

英語表記:throughput

スルーホール

英語表記:through hole

スルーレート

英語表記:slew rate

スローベント ソフトベント

英語表記:slow vent soft vent

スロー排気 ソフト排気

英語表記:slow pumping slow roughing soft roughing

スワール

英語表記:swirl

スワール

英語表記:swirl

スワップ搬送

英語表記:swapped transportation

砂ろ過器 急速ろ過機

英語表記:sand filter rapid sand filter

垂直性

英語表記:vertical

垂直立上げ

英語表記:swift start-up system

水圧ホーニング装置

英語表記:water jet horning machine

水素ターミネーション 水素終端化

英語表記:hydrogen termination

水素プラズマ曝露

英語表記:hydrogen plasma exposure

水分圧

英語表記:H2O partial pressure

水平ブリッジマン法 温度傾斜法

英語表記:horizontal Bridgman

水平ローダ

英語表記:horizontal loader

寸法シフト

英語表記:measure shift

寸法シフト 寸法変換量 CDロス

英語表記:pattern shift critical dimension loss

寸法ドリフト

英語表記:pattern size drift

寸法測定

英語表記:dimension measurement

辷り面

英語表記:slip plane

閾値電圧:Vth

英語表記:Threshold Voltage