ひ の半導体用語

ヒートブロック ヒート駒 ヒートプレート

英語表記:heat block heat plate

ヒストグラムメモリ

英語表記:histogram memory

ヒューズリンク

英語表記:fuse link

ヒロック

英語表記:hillock

ヒロック

英語表記:hilloCk

ヒ素

英語表記:arsenie

一筆書き ライティング

英語表記:epoxy writing

引き上げ乾燥装置

英語表記:capillary drying equipment pull up drying equipment

引出電圧

英語表記:extraction voltage

引出電極系

英語表記:extraction electrodes

引上シャフト

英語表記:pull shaft

引上ワイヤ

英語表記:pull wire

横広がり抑制

英語表記:lateral spread control

光CVD装置

英語表記:photo assisted CVD system

光ブリーチ効果

英語表記:photo bleaching

光リソグラフィ

英語表記:photolithography

光干渉膜厚測定

英語表記:interferrometry thickness measurement

光気相エピタキシャル成長装置

英語表記:photo assisted vapor phase epitaxial growth system

光近接効果

英語表記:optical proximity effect

光散乱法

英語表記:light scattering method

光散乱法

英語表記:light scattering

光弾性応力解析法

英語表記:photoelastic stress-strain analysis method

光電流法 P(H)C

英語表記:photo current method

光導電効果

英語表記:photo-conductive effect

光誘起電流 光励起電流 OBIC

英語表記:optical beam induced current

光容量法

英語表記:photo capacitance method

光励起

英語表記:photo-excited cleaning

光励起アッシング装置

英語表記:photo excited ashing system

光励起エッチング装置

英語表記:photo excited etching system

光励起発光法 PL

英語表記:photo luminescence method

光露光プロセス

英語表記:photolithography process

光露光装置

英語表記:optical aligner

広がり抵抗測定法

英語表記:spread resistance measurement method

広がり抵抗法 SR

英語表記:spreading resistance method

左回転 CCW

英語表記:counter clock wise

雛型剤

英語表記:mold release

瞳フィルタ法

英語表記:pupil filter

秤量槽レス薬液供給装置

英語表記:measuring tank-less chemical supply system

比研磨量・圧力比

英語表記:specific amount of stock removal

比摩耗量・圧力比

英語表記:specific amount of pad wear

比率移動

英語表記:ratio

非クロムエッチング

英語表記:non Cr etching

非一方向流型クリーンルーム 乱粒型クリーンルーム

英語表記:non-unidirectional flow clean room turbulent flow clean room

非鏡面ウェーハ

英語表記:non mirror wafer

非常用照明設備

英語表記:emergency light system

非接触給電方式

英語表記:non-contact power supply

非接触型テストシステム

英語表記:noncontact test system

非弾性散乱過程

英語表記:non elastic dispersron process

非定在波型超音波発生装置

英語表記:non-standing wave type ultrasonic generator

非同期テスト

英語表記:asynchronous test

非同期プロセッサ

英語表記:asynchronous processor

飛程

英語表記:range

標準粒子発生器

英語表記:standard particle generator

標本化と量子化

英語表記:

表皮効果

英語表記:skin effect

表皮深さ

英語表記:skin depth

表面エキシトン

英語表記:surface exciton

表面ダングリングボンド

英語表記:surface dangling bond

表面パーティクル測定

英語表記:particle distribution measurement

表面プラズモン励起

英語表記:surface plasmon excitation

表面プロファイル計測終点検出法

英語表記:end point detection by surface profile mesurement

表面ポラりトン

英語表記:surface polariton

表面マイクロラフネス

英語表記:surface roughness

表面ラフネス散乱

英語表記:surface roughness scattering

表面汚染

英語表記:surface contamination

表面拡散

英語表記:surface diffusion

表面格子

英語表記:surface lattice

表面格子欠陥

英語表記:surface lattice defect

表面基準研磨

英語表記:polish reference to front surface of the water

表面現象

英語表記:surface phenomena

表面光起電位(SPV)法

英語表記:Surface Photo Voltage method

表面光起電力法 SPV

英語表記:surface photovoltaic method

表面構造

英語表記:Surface structure

表面再配列と緩和

英語表記:surface reconstruction and relaxation

表面清浄度

英語表記:surface cleanliness

表面張カ

英語表記:surface tension

表面電位

英語表記:surface potential

表面電気伝導

英語表記:surface electric conduction

表面濃度

英語表記:surface concentration

表面波プラズマ

英語表記:surface wave plasma

表面波共鳴現象

英語表記:surface wave resonance phenomenon

表面反応

英語表記:surface reaction

表面不溶化、難溶解層

英語表記:surface insolubility, insoluble layer

表面付着粒子測定機 表面欠陥測定機

英語表記:optical detector of particle on surfaces surface scanner

表面偏析

英語表記:surface segregation

表面保護テープ

英語表記:protection tape

品質管理システム

英語表記:quality control system