り の半導体用語

Linear Parabolicモデル

英語表記:Linear Parabolic model

リーク電流

英語表記:leak current

リードカット リード切断

英語表記:lead cutting lead trimming

リードフレーム

英語表記:lead frame

リードロケイタ

英語表記:lead locator

リード加工機

英語表記:trim and forming machine cut and bending machine

リード加工金型

英語表記:trim and forming die cut and bending die

リード成形 リード曲げ

英語表記:lead forming

リード平坦度

英語表記:coplanarity

リーフ式コンタクト

英語表記:lerf type contact

リアルタイム救済判定機能

英語表記:real-time redundancy judgement function

リキッドバーンイン装置

英語表記:liquid burn-in system

リセス

英語表記:recess

リセス プラグロス

英語表記:recess plug loss

リソグラフィ

英語表記:lithography

リソグラフィー

英語表記:lithography

リソグラフィ技術

英語表記:lithography

リダンダンシ アナライザ RAメモリリペア アナライザ

英語表記:redundancy analyzer

リダンダンシ機能 不良救済機能

英語表記: redundancy function

リチウム(Li)

英語表記:lithium

リチャージ法 マルチブリング法

英語表記:recharge CZ method multi pulling method

リッジ

英語表記:ridge

リテーナプレッシャー

英語表記:retainer

リテーナリング

英語表記:retainer ring

リテンション

英語表記:retention

リニアテストシステム アナログテストシステム

英語表記:linear test system analog test system

リネージ

英語表記:lineage

リバースモーション

英語表記:reverse motion

リピータ

英語表記:repeater

リファレンス電源

英語表記:reference source

リフトオフ

英語表記:lift off

リフトオフ

英語表記:lift-off

リフレッシュ

英語表記:

リフレッシュ機能

英語表記:refresh function

リフロー

英語表記:reflow

リフロー装置

英語表記:reflow furnace

リフロー平坦化

英語表記:reflow planarization

リフロー埋め込み温度

英語表記:reflow temperature

リフロー埋め込み機構

英語表記:reflow mechanism

リミット値

英語表記:limit value

リリースフィルム

英語表記:release film

リン

英語表記:phosphorus

リンクカットデータ ヒューズデータ

英語表記:link cut data fuse data

リンス

英語表記:rinse

リン拡散ゲッタリング

英語表記:Phosphorus Diffusion Gettering: PDG

裏面デポ抑制

英語表記:exclusing backside deposition

裏面汚染転写

英語表記:backside contaminants transfer

裏面加工歪層

英語表記:backside damage layer

裏面基準 背面基準

英語表記:back side reference

裏面基準研磨

英語表記:polish reference to back surface of the wafer

裏面光沢度

英語表記:back surface luster

流動性CVD

英語表記:self-flow CVD

流量検出器

英語表記:flow sensor

硫酸過酸化水素水混合溶液

英語表記:sulfuric acid/ hydrogen peroxide mixture

硫酸過酸化水素洗浄 SPM洗浄 ピラニア洗浄

英語表記:sulfuric acid hydrogen peroxide cleaning SPM Cleaning piranha cleaning

硫酸再生装置

英語表記:sulfuric acid reprocessor

粒子静電電荷量測定器

英語表記:electrostatic charge meter for particle

粒状活性炭

英語表記:granular activated carbon

粒状多結晶シリコン

英語表記:grain type poly-crystal silicon

粒度

英語表記:grain size grits

粒度分布

英語表記:particle-size distribution

両テレセントリックレンズ

英語表記:double telecentricity lens

両極性拡散

英語表記:two Poles diffusion

両面ポリシング機

英語表記:double side polishing machine

両面ラップ盤

英語表記:double side lapping machine

両面研削盤

英語表記:double head grinding machine

両面研磨

英語表記:double side polishing

両面研磨装置

英語表記:double side polisher

両面露光装置

英語表記:double sided aligner

量子ドット素子

英語表記:

輪帯照明

英語表記:annular illumination