は の半導体用語

HALO/ポケット

英語表記:HALO/ pocket

Half pitchとNode

英語表記:

High-k膜(高誘電率膜)

英語表記:

はがれ 圧着はがれ

英語表記:peel off bond lift off non stick

はんだディップ装置 

英語表記:solder dipping equipment

はんだボール搭載装置

英語表記:solder ball mounter

はんだメッキ装置

英語表記:solder plating

はんだ浸し試験装置

英語表記:solder dip test system

ハードケース対応ローダ

英語表記:hardcase adaptable loader

ハーフカット

英語表記:half cutting

ハーフトーン型位相シフトマスク

英語表記:attenuated Phaseーshifting mask, half-tone phase-shifting mask

ハーフトーン型位相シフト露光法

英語表記:attenuated Phaseーshifting method, half-tone phaseshifting method

ハイインピーダンス

英語表記:high-impedance detection function

ハイエネルギーイオン注入装置

英語表記:High Energy Ion Implantation System

ハイドロプレーン現象

英語表記:hydroplane phenomenon

ハイブリットボンダ

英語表記:hybrid bonder

ハイブリッドスキャン

英語表記:hybrid scan

ハブブレード

英語表記:hub blade

ハブレスブレード リングブレード

英語表記:hubless blade ring blade

ハロゲンランプ アニール装置

英語表記:halogen lamp annealer

ハロゲン化物消火設備

英語表記:halogenide extinguishing system

ハンドラ オートハンドラ

英語表記:handler autohandler

早送り速度

英語表記:fast traverse

貼り合わせ

英語表記:wafer bonding

波形モード

英語表記:waveform mode

波形測定機能

英語表記:waveform measurement

波動カットオフ

英語表記:vibration cut off

破壊応力

英語表記:fracture stress

破断モード

英語表記:shearing mode failure mode neck breaking second bond off

廃液自動切替システム

英語表記:waste fluid separator

廃水処理・回収装置

英語表記:waste water treatment and reclamation system

排ガス回収装置

英語表記:exhaust gas recovery system

排ガス処理装置

英語表記:exhaust gas treatment equipment

排気圧力制御

英語表記:exhaust pressure control

排水回収処理装置

英語表記:waste water reclamation system

排泥装置

英語表記:sludge removal equipment

配管接合技術

英語表記:pipeline jointing technique

配線金属

英語表記:wiring metal

配線信頼性

英語表記:interconnect reliability

配線信頼性

英語表記:reliability of interconnections

配線抵抗

英語表記:interconnect resistance

配線容量

英語表記:interconnect capacitance

白金

英語表記:platinum

白色LED

英語表記:

薄膜化

英語表記:approach for thin film

薄膜形成装置

英語表記:thin film deposition system

薄膜堆積法

英語表記:thin film deposition methods

発光分析

英語表記:optical emission spectroscopy

発振回路

英語表記:oscillator circuit

発生ライフタイム

英語表記:generation lifetime

発生再結合電流

英語表記:generation recombinatlon current

半影ボケ

英語表記:penumbral blur, blur

半乾式排ガス処理装置

英語表記:semi dry type exhaust gas abatement equipment

半導体

英語表記:

半導体級多結晶シリコン:EGーSi

英語表記:Electronic Grade-Silicon

半導体接着ウェーハ 貼り合わせウェーハ

英語表記:semiconductor bonding wafer

反応室 成長室 リアクタ

英語表記:reaction chamber deposition chamber reactor

反応性 スパッタリング装置

英語表記:reactive sputtering system

反応性イオン エッチング装置 RIE装置 反応性スパッタ エッチング装置

英語表記:reactive ion etching system RIE system reactive sputter etching system

反応性イオンエッチング装置

英語表記:reactive 10n etching equil)ment

反応性イオンビーム エッチング装置 RIBE装置

英語表記:reactive ion beam etching system RIBE system

反応性プラズマ過程

英語表記:reactive plasma process

反応性プラズマ計測

英語表記:Reactive plasma measurement

反応副生成物

英語表記:reaction byproducts

反応律速

英語表記:reaction controlled

反射高速電子線回析法 RHEED

英語表記:reflection high energy electron diffraction method

反射防止プロセス

英語表記:Anti-reflection process

反射防止膜

英語表記: anti-reflective coating

反射防止膜

英語表記:anti-reflective coat

搬送リードタイム

英語表記:transportation leadtime

搬送ロボット

英語表記:transport robot

搬送情報(管理)

英語表記:material movement information transportation information

搬送量 FROM/TO量

英語表記:transportation amount

英語表記:die plate